Система активного дистанционного виброконтроля электрооборудования на основе цифровой обработки ультразвуковых сигналов тема диссертации и автореферата по ВАК РФ 05.09.03, кандидат наук Бычков Анатолий Владимирович

  • Бычков Анатолий Владимирович
  • кандидат науккандидат наук
  • 2022, ФГБОУ ВО «Чувашский государственный университет имени И.Н. Ульянова»
  • Специальность ВАК РФ05.09.03
  • Количество страниц 142
Бычков Анатолий Владимирович. Система активного дистанционного виброконтроля электрооборудования на основе цифровой обработки ультразвуковых сигналов: дис. кандидат наук: 05.09.03 - Электротехнические комплексы и системы. ФГБОУ ВО «Чувашский государственный университет имени И.Н. Ульянова». 2022. 142 с.

Оглавление диссертации кандидат наук Бычков Анатолий Владимирович

ВВЕДЕНИЕ

ГЛАВА 1 МЕТОДЫ И СИСТЕМЫ ВИБРАЦИОННОГО КОНТРОЛЯ ЭЛЕКТРООБОРУДОВАНИЯ

1.1 Общие сведения

1.2 Контактные методы вибрационного контроля

1.3 Алгоритмы цифровой обработки вибрационных сигналов

1.4 Бесконтактные методы вибрационного контроля

1.5 Выводы к главе

ГЛАВА 2 МОДЕЛИРОВАНИЕ СИСТЕМЫ ВИБРОКОНТРОЛЯ С АКТИВНЫМ УЛЬТРАЗВУКОВЫМ ЗОНДИРОВАНИЕМ

2.1 Общая структура системы виброконтроля с использованием модифицированного бесконтактного ультразвукового фазового метода

2.2 Моделирование и методика контроля параметров вибраций высокой частоты

2.3 Моделирование и методика контроля параметров вибраций низкой частоты

2.4 Определение параметров вибраций при использовании искусственных нейронных сетей

2.5 Выводы к главе

ГЛАВА 3 МЕТОДИКА ОБРАБОТКИ СИГНАЛОВ И СИСТЕМА ВИБРОКОНТРОЛЯ

3.1 Программа моделирования при импульсном ультразвуковом виброконтроле

3.2 Разработка узлов системы вибродиагностики электрооборудования с бесконтактным виброконтролем

3.3 Анализ погрешности при контроле параметров вибраций

3.4 Выводы к главе

ГЛАВА 4 ИСПОЛЬЗОВАНИЕ УЛЬТРАЗВУКОВОГО ЗОНДИРОВАНИЯ В СИСТЕМЕ ВИБРОКОНТРОЛЯ ЭЛЕКТРООБОРУДОВАНИЯ

4.1 Экспериментальный лабораторный макет системы виброконтроля с активным ультразвуковым зондированием

4.2 Экспериментальные измерения вибраций низкой частоты

4.3 Экспериментальные измерения вибраций в системе виброконтроля электродвигателя

4.4 Выводы к главе

ЗАКЛЮЧЕНИЕ

СПИСОК СОКРАЩЕНИЙ И УСЛОВНЫХ ОБОЗНАЧЕНИЙ

СПИСОК ЛИТЕРАТУРЫ

ПРИЛОЖЕНИЕ 1. Акт об использовании результатов ООО НПП «ЭКРА»135 ПРИЛОЖЕНИЕ 2. Акт об использовании результатов

ООО «Интеллектуальные сети»

ПРИЛОЖЕНИЕ 3. Справка о внедрении результатов ФГБОУ ВО «Чувашский

государственный университет имени И.Н. Ульянова»

ПРИЛОЖЕНИЕ 4. Свидетельство о государственной регистрации программы

для ЭВМ

ПРИЛОЖЕНИЕ 5. Код программы для FPGA XC3S500E

Рекомендованный список диссертаций по специальности «Электротехнические комплексы и системы», 05.09.03 шифр ВАК

Введение диссертации (часть автореферата) на тему «Система активного дистанционного виброконтроля электрооборудования на основе цифровой обработки ультразвуковых сигналов»

ВВЕДЕНИЕ

Актуальность темы. Задачи вибрационного контроля оборудования являются актуальными для различных областей промышленности (электроэнергетика; газовая, нефтяная, угольная промышленности; авиа- и кораблестроение и т.д.). В частности, в электроэнергетической отрасли виброконтроль оборудования (генераторов, насосов, электроприводов, трансформаторов, реакторов) большинства электротехнических комплексов является неотъемлемой составляющей регламентных работ процесса эксплуатации [83, 94, 115]. Следует отметить, что также существует необходимость контроля вибраций в техпроцессах, где вибрации являются не побочным эффектом, а необходимой их частью и специально создаются [41].

Электросетевой комплекс России характеризуется высокой степенью износа оборудования на электростанциях и в электрических сетях [31]. Неотъемлемой частью обеспечения надежности и безопасности работы электротехнического оборудования является применение средств технического диагностирования их неисправностей. Проведение эффективной диагностики, не требующей вывода оборудования из работы, обеспечивает возможность перехода на эксплуатацию не по регламентным срокам технического обслуживания, а по фактическому техническому состоянию контролируемых объектов.

Для контроля вибраций применяются как контактные, так и бесконтактные (дистанционные) методы. Контактная вибродиагностика обеспечивает измерение вибраций корпуса или основания установки и не имеет «доступа» к подвижным её частям. Дистанционные методы заключаются в использовании лазерного (оптические методы) или ультразвукового излучения. Дистанционные оптические методы основываются на принципах интерферометрии, пространственного сканирования, являются высокоточными, но при этом оказываются дорогостоящими. Ультразвуковые (УЗ) методы относительно удобны, более доступны по цене по сравнению с оптическими методами, могут составить им серьезную конкуренцию.

Существующие импульсные УЗ методы (использующие локационный принцип), основаны на измерении времени прохождения волны, модуляции ее частоты и фазы. Частота и фаза отраженной волны зависят от измеряемых частот вибраций. Применяемые датчики с высокой добротностью и условия распространения ультразвука искажают сигналы. В предлагаемой системе контроля вибраций влияние этих факторов снижено за счет использования корреляционной обработки сигналов.

Предлагаемая в работе система измерения и контроля вибраций на основе активного ультразвукового зондирования позволяет проводить измерения как низкочастотных, так и высокочастотных вибраций. Комплексное (совместное) использование в системе контактных и бесконтактных принципов измерения позволяет получать больше информации о вибрациях контролируемого оборудования и, как следствие, больше информации о его состоянии. Задача совершенствования методики и средств диагностирования неисправностей оборудования электротехнического комплекса является актуальной и соответствует «Стратегии развития электросетевого комплекса Российской Федерации на период до 2035 года», утвержденной распоряжением Правительства № 1523-р от 9 июня 2020 года [63].

Объектом исследования являются электротехнические комплексы и системы, имеющие в своем составе силовое электрооборудование, требующее контроля вибраций.

Предметом исследования является система виброконтроля электрооборудования с активным дистанционным ультразвуковым зондированием вибрирующих поверхностей.

Цель исследования - разработка модифицированного бесконтактного ультразвукового фазового метода и обоснование его использования в системе виброконтроля электрооборудования.

Задачи исследования. Для достижения обозначенной цели в работе решаются следующие задачи:

1. Разработка методик измерений для модифицированного бесконтактного УЗ фазового метода виброконтроля с применением линейной частотной модуляции и фазовой манипуляции сигналов, их корреляционной обработки.

2. Разработка программы моделирования и обработки сигналов для использования модифицированного бесконтактного УЗ фазового метода; теоретический анализ схем измерений в системе с бесконтактным виброконтролем электрооборудования.

3. Разработка и программно-аппаратная реализация узлов системы виброконтроля электрооборудования с использованием модифицированного бесконтактного УЗ фазового метода.

4. Экспериментальная проверка функционирования системы бесконтактного виброконтроля электрооборудования на основе модифицированного бесконтактного УЗ фазового метода.

Степень разработанности темы исследования. Большой вклад в развитие теоретических основ вибродиагностики, в том числе и виброакустических, а также практического их развития внесли учёные: Айрапетов Э.Л., Балицкий Ф.Я., Барков А.В., Баркова Н.А., Герике Б.Л., Гольдин А.С., Застрогин Ю.Ф., Зусман Г.В., Клюев В.В., Костюков В.Н., Ланге Ю.В., Науменко А.П., Русов В.А., Таджибаев А.И., Явленский К.Н. и др.

Методы обработки импульсных ультразвуковых сигналов получили развитие во многих исследованиях отечественных и зарубежных ученых. Широко известны научные труды Батракова Д.О., Дичева Н.В., Жданкина В., Солдатова А.И., Сорокина П.В., Шульгиной Ю.В., Aldawi F.J., Angrisani L., Barshan B., Beck M.S., Bui G.T., Carotenuto R., Coulthard J., Elmer H., Granja F.S., Hirata S., Huang S.S., Huang Y.P., Lin Y., Ma S., Marioli D., Mazeika L., Nagy C., Paulson K.S., Sato Y., Teufel M., Velmurugan R., Wilkinson A.J., Xu B.

Методология и методы исследования. При решении поставленных задач по моделированию распространения и отражения УЗ волн от вибрирующей поверхности использовались методы математической физики и математического моделирования. Для теоретического анализа полученных модельных сигналов, а

также экспериментальных данных использовалась теория измерений, общая теория обработки сигналов и теория обработки и анализа вибрационных сигналов, а также методы функционального и объектно-ориентированного программирования. Экспериментальная работа проводились с применением современных цифровых средств измерений. В работе были использованы элементы аппарата искусственных нейронных сетей (ИНС).

Степень достоверности результатов.

Достоверность полученных в диссертационном исследовании основных научных положений и выводов подтверждается согласием результатов математического моделирования и экспериментальных измерений.

Положения, выносимые на защиту:

1. Система вибрационного контроля электрооборудования с использованием модифицированного бесконтактного УЗ фазового метода зондирования.

2. Алгоритмы моделирования, обработки сигналов и схемы измерений для анализа вибраций с использованием предлагаемого метода УЗ контроля.

3. Методика и способы использования предлагаемого метода УЗ контроля совместно с контактными измерениями в системе виброконтроля электрооборудования.

Научная новизна:

1. Разработан модифицированный бесконтактный фазовый метод контроля вибраций, отличающийся импульсным зондированием и корреляционной обработкой ультразвуковых сигналов.

2. Разработаны и реализованы новые алгоритмы цифровой обработки фазомодулированных и фазоманипулированных импульсных УЗ сигналов, а также применения ИНС, которые позволяют контролировать параметры вибраций в широком диапазоне частот.

3. Реализована система виброконтроля при совместном использовании предлагаемого модифицированного бесконтактного УЗ фазового метода с

традиционными контактными методами измерений. Показано, что это позволяет выявлять особенности вибраций подвижных частей электрооборудования.

Теоретическая значимость диссертационной работы определяется доказанной в исследованиях возможностью применения в электротехнических комплексах системы вибрационного контроля оборудования на основе активных бесконтактных ультразвуковых измерений.

Практическая значимость. Результаты могут быть использованы для повышения эффективности и информативности вибрационного контроля оборудования электротехнических комплексов. Предлагаемый подход позволяет дистанционно контролировать вибрации как элементов корпуса электроустановок, так и подвижных элементов. Комплексный корреляционный и спектральный анализ, как ультразвуковых сигналов, так и сигналов, получаемых от контактных измерительных датчиков, установленных на корпусе и основании фундамента, может эффективно использоваться для контроля состояния и исправности электроустановки для дальнейшей оценки её остаточного ресурса. Тема диссертационного исследования соответствует важным направлениям науки, техники и технологии в Российской Федерации: ядерная энергетика, энергосбережение, энергоэффективность.

Апробация результатов работы. Основные выводы, положения и результаты диссертационной работы докладывались и обсуждались на Международных конференциях: «Электротехнические комплексы и системы» -игаЮоп (г. Челябинск, 2019 г., 2020 г.); «Нигматуллинские чтения-2018» (г. Казань, 2018 г.); «САПР и моделирование в современной электронике» (г. Брянск, 2018 г.) и на всероссийских конференциях: «Динамика нелинейных дискретных электротехнических и электронных систем» (г. Чебоксары, 2017 г., 2019 г.); «Информационные технологии в электротехнике и электроэнергетике» (г. Чебоксары, 2020 г.).

Внедрение результатов исследования. Результаты диссертационного исследования внедрены в ООО «Интеллектуальные сети» при разработке комплексных программ мониторинга и контроля технологических процессов на

объектах энергетики (Приложение 2); в ООО НПП «ЭКРА» при разработке функций мониторинга в устройствах защит (Приложение 1); в учебный процесс ФГБОУ ВО «Чувашский государственный университет им. И.Н. Ульянова» (Приложение 3).

На программное обеспечение получено свидетельство о государственной регистрации программы для ЭВМ № 2021666569.

Публикации. По теме диссертационной работы опубликовано 14 работ, в том числе 3 статьи в изданиях, индексируемых в международных базах данных и системах цитирования (WoS, Scopus и т.д.) [87, 88, 89], 6 статей в российских рецензируемых научных журналах, рекомендованных ВАК Министерства образования и науки РФ [14, 15, 16, 19, 21, 22], 5 материалов докладов на международных [13, 17] и всероссийских [12, 18, 20] конференциях. Получено 1 свидетельство о регистрации программы для ЭВМ [67] - приложение 4.

Структура и объем работы. Диссертация состоит из введения, 4-х глав, заключения, списка литературы (115 наименований), 5 приложений. Общий объем диссертации 142 страницы, 71 рисунок и 7 таблиц.

Личный вклад автора. Создание экспериментальных лабораторных установок, разработка и программно-аппаратная реализация опытных макетов системы бесконтактного виброконтроля электрооборудования на основе модифицированного бесконтактного УЗ фазового метода; расчеты, обработка цифровых сигналов и математическое моделирование, а также интерпретация экспериментальных данных проводились автором лично. Совместная работа: обучение ИНС, анализ функционирования ИНС-алгоритмов, участие в работе по проведению экспериментов, разработка численных алгоритмов.

ГЛАВА 1 МЕТОДЫ И СИСТЕМЫ ВИБРАЦИОННОГО КОНТРОЛЯ

ЭЛЕКТРООБОРУДОВАНИЯ

1.1 Общие сведения

Вибрации в электрооборудовании - это, как правило, явления нежелательные, которые возникают в процессе функционирования и усиливаются при его неисправностях. В некоторых типах оборудования вибрации генерируются специально и являются основой технологического процесса. К такому оборудованию можно отнести вибрационные питатели и конвейеры, используемые в горнодобывающей промышленности, для транспортировки мелких штучных материалов и т.д. Существует необходимость непрерывного контроля движения и вибраций, и в целом комплексной диагностической оценки состояния оборудования [72, 84].

Оценивание качества работы и надежности функционирования отдельных элементов узлов, а также всей установки целиком подразумевает получение информации о характеристиках вибрационных процессов при эксплуатации электрооборудования. В связи с этим, создание и разработка эффективных контролирующих устройств для оценки стабильности работы динамических элементов, плюс контроль изменения состояния в процессе эксплуатации требуют пристального внимания инженеров и разработчиков [97, 98,104].

Современная вибродиагностика является наиболее распространенным способом определения технического состояния такого оборудования как электрические двигатели, генераторы [71], роторные механизмы [78, 112], механические преобразователи частоты [91] трансформаторы [11, 66], опоры линий электропередачи [32, 95] и другое аналогичное силовое электрооборудование. Одновременно с распространенностью вибродиагностика является довольно сложным методом анализа. Зачастую это бывает обусловлено кинематической сложностью диагностируемых объектов.

В середине шестидесятых годов ХХ века вибродиагностирование получило сильный толчок в развитии. В настоящее время является динамично

развивающимся направлением, которое заинтересовывает как инженеров-практиков, так и ученых-теоретиков. Вибрационная диагностика сопряжена со многими отраслями производства и промышленности: тепло- и электроэнергетика, авиаприборостроение, железнодорожный транспорт, горная промышленность, одним словом, во всех электротехнических комплексах, где возможно возникновение вибраций при эксплуатации оборудования. В соответствии с ГОСТ 20911-89 - «Техническая диагностика. Термины и определения», [29] процесс технического диагностирования имеет следующие задачи:

- диагностирование технического состояния объекта;

- поиск места и определение вида неисправности;

- построение прогноза технического состояния.

На сегодняшний день можно насчитать порядка десятка методов диагностирования различных механизмов по измеренному вибросигналу. Все методы имеют и достоинства, и недостатки. При этом имеются также некоторые особенности. Нет определенной классификации методов виброконтроля. Это связано с тем, что классификацию можно проводить с учётом различных критериев. Например, можно привести следующие критерии для классификации

[9]:

- способ получения информации и её объём;

- временные затраты на диагностику;

- способ обработки сигналов получаемых от датчиков;

- методы анализа и алгоритмы принятия решений.

В данной условной классификации наиболее важными являются два последних пункта. Сложнейшей, с практической точки зрения, задачей является выбор методики диагностирования в конкретной ситуации, применительно к конкретному электрооборудованию.

Существует две большие группы методов контроля вибраций [50, 82, 104, 105]:

- контактные методы контроля;

- бесконтактные методы контроля.

Контактные методы, подразумевают, что между датчиком измерения и исследуемым объектом присутствует механическое взаимодействие, т.е. датчики находятся в непосредственном контакте с объектом. Бесконтактными методами контроля можно называть методы, в которых измерение производится таким датчиком, которому не требуется механический контакт с объектом контроля. К ним относят оптические, акустические, вихретоковые, ёмкостные, индуктивные методы измерения.

1.2 Контактные методы вибрационного контроля

Анализ вибраций в значительной мере основан на практическом опыте. Датчик, который устанавливается, к примеру, на корпусе в месте установки подшипника и подключенный к устройству анализа вибраций, «извлекает» временн>то информацию, а также информацию о частотах в виде формы сигнала и его частотном спектре (рисунок 1.1). Такая информация, как правило, является основополагающей для проведения анализа вибрационных параметров. Информация может содержать сведения о дефектах в механических узлах, об электрических дефектах в электрооборудовании.

Анализ вибраций - процесс не автоматизированный. Причинами тому могут служить следующие моменты:

- машины, как правило, имеют одновременно множество неисправностей. Шаблонные учебные примеры в чистом виде встречаются крайне редко. Для начала необходимо сказать о том, что, к примеру, все электродвигатели изначально имеют незначительную расцентровку и дисбаланс. При возникновении других неисправностей, вид вибросигнала и его спектр усложняются в значительной степени, их становится трудно анализировать.

- причинно-следственная вибрация возникает вследствие того, что на почти любое силовое воздействие имеет место реакция. Например, сила, являющаяся следствием разбалансировки ротора по сигналам может выглядеть как сила расцентрованного вала, незакрепленного или «шумного» от трения.

и 0,06

-0,06

МЛ ^^ЦА^Ь/

0 0,6 1,2 1,8 2,4 3,0 3,6 4,2

а)

б)

а) временное изменение виброскорости; б) спектр виброскорости Рисунок 1.1 - Пример сигнала виброскорости

- ряд неисправностей имеют похожие черты. Так как роторы имеют конкретное значение скорости вращения, а вибрация - это есть циклически повторяющееся усилие, то в результате ряд электродинамических и механических неисправностей имеют подобные спектральные формы. Это затрудняет разделение неисправностей.

- вибрации могут быть вызваны не только механическими дефектами, но и дефектами в электрической части оборудования: короткое витковое замыкание обмоток в двигателе, разрыв стержней ротора, повреждение катушек трансформатора [77, 79].

Поскольку в настоящей работе измерения основаны, в основном, на фазовых измерениях, рассмотрим анализ фазовых соотношений вибросигналов.

Анализ фазовых соотношений - это анализ набора измеренных фаз вибраций в различных точках электроустановки. По измеренным значениям производят оценку для того, чтобы распознать относительное движение между различными точками. Существует два способа измерения фазы: измерение абсолютного или относительного значения фазы.

Для измерения абсолютного значения фазы необходимо иметь один измерительный датчик, который устанавливается в контролируемой точке и тахометр. Устройство измерения производит расчёт времени между сигналом триггера тахометра (и2) и пикового значения сигнала вибраций положительной полуволны (и±). Получившийся отрезок времени с пересчётом в градусы является выходным значением измерительного устройства (рисунок 1.2).

\ Л ( Л А

&2 1 \ N У а V/ V/ V ' 1 1 .. *

Рисунок 1.2 - Контроль абсолютного значения фазы

Для контроля значения относительной фазы необходим многоканальный анализатор, в котором имеется два и более датчиков. Один из датчиков всегда является эталоном (опорный сигнал, относительно которого производятся все измерения). Как правило, этот датчик устанавливается на корпусе рядом с подшипником. Другой (другие) датчик устанавливается в контрольной точке измерения. Контроль значения относительной фазы - это расстояние между пиками положительных полуволн сигналов (и± и и2). Выходным значением, как и при абсолютном измерении, является время, пересчитанное в градусы (рисунок 1.3). Преимущество второго способа - не требуется наличие тахометра и, как следствие, возможность измерения фазы на различных частотах.

Измерение относительного значения фазы вибраций более удобен и практичен с точки зрения эксплуатации. Причиной этому является то, что относительные измерения не требуют остановки работы оборудования.

Рисунок 1.3 - Контроль относительного значения фазы

На рисунке 1.4 представлен двухканальный анализатор вибраций УШХрей, производства фирмы РгийеЛшк. Он имеет стандартные функции для измерения абсолютной и относительной фаз.

Рисунок 1.4 - Система фазоанализатора УЛХреЛ. Двухканальный

виброанализатор

Контактные методы являются относительно простыми в реализации. Они имеют точное определенное расположение точек измерения на объекте контроля. Незначительная стоимость и приемлемые пределы по точности - два основных фактора, явившиеся причиной распространения подобных устройств в промышленности. Однако следует помнить и учитывать, что частая невозможность в установке датчика контактного типа непосредственно на динамическом объекте (в первую очередь это касается оборудования с вращающимися элементами) несколько сужает область их использования.

Недостатки контактного способа контроля можно свести к следующим:

- датчики и контрольно-измерительные провода не являются сильно надежными с точки зрения механических и температурных влияний, часто выходят из строя; также контрольно-измерительные провода являются помехой для подвижных элементов конструкции (могут зацепиться за вращающийся рабочий механизм);

- масса датчика должна быть сильно меньше массы исследуемого объекта;

- со временем потеря чувствительности, что требует периодической калибровки датчиков;

- характеристики датчиков, от образца к образцу, могут значительно отличаться [25];

- отсутствие возможности измерений на низких частотах;

- хрупкость датчиков.

1.3 Алгоритмы цифровой обработки вибрационных сигналов

Алгоритмы (методы) обработки и анализа вибрационных сигналов подразделяются на две большие группы:

- анализ вибросигналов, как функции времени;

- анализ вибросигналов, как функции частоты.

В современных устройства ведущих производителей устройств виброконтроля, присутствуют, в большинстве случаев, все алгоритмы анализа.1

Виброскорость, виброускорение, виброперемещение

Виброскорость, а точнее - её среднеквадратичное значение (СКЗ), позволяет «налету» провести простейший первичный анализ состояния электрооборудования. Определение данного параметра присутствует в самых

1 В простых недорогих приборах (например, универсальный компактный виброметр У1Реп, представленный на рисунке 1.5) производится измерение параметров вибраций без частотного анализа, измеряется среднеквадратичное значение виброскорости (виброускорения).

простых ручных диагностических инструментах. Среднеквадратичное значение рассчитывается по следующему выражению:

где и(Ъ) - сигнал, приходящий с датчика вибраций;

Т - период (длительность) наблюдения;

£0 - начальный момент времени наблюдения.

Измерение виброскорости помогает выделять дефекты подшипников качения только в тех случаях, когда уровень вибраций значительно увеличивается, иначе говоря, на последних стадиях развития дефекта. Реализация данного метода на практике не затратна и не является требовательной к персоналу.

С виброскоростью связаны еще два параметра: виброперемещение и виброускорение [65]. По сути, виброперемещение - это непосредственно характеристика колебания поверхности, а виброскорость и виброускорение - ее первая и вторая производные. При необходимости всегда можно произвести пересчет одной величины в другую.

Сила, вызывающая вибрацию, пропорциональна виброускорению. Поэтому виброускорение прямо характеризует силовую динамическую взаимосвязь элементов внутри объекта контроля. Измерение виброускорения, с практической точки зрения, лишено дополнительных сложностей, так как стандартный пъезодатчик измеряет именно ускорение, и эту величину не нужно специально дополнительно преобразовывать.

Виброскорость - это скорость перемещения контролируемой поверхности оборудования, то есть, иначе говоря - мощность вибраций. Виброперемещение показывает максимальные границы перемещения контролируемой точки в процессе вибраций. Это расстояние между крайними точками перемещения элемента оборудования вдоль оси измерения.

На интервале примерно от десяти до тысячи герц частотная зависимость виброскорости имеет пологий участок. Поэтому в указанном диапазоне, с

(1.1)

практической точки зрения, рекомендуют контролировать виброскорость. Увеличение частоты вибраций способствует повышению среднего уровня виброускорения. В связи с этим контролировать виброускорение более эффективно в диапазоне высоких частот - примерно от 2000 Гц [26].

Теоретически измерение того или другого параметра определяется диапазоном частот вибраций. Для виброперемещения - это частоты до, примерно, 300-500 Гц. Для виброскорости - это диапазон от 10 Гц до, максимум, 2000 Гц. Виброускорение измеряется при наличии вибраций в широкой полосе частот, как правило, на практике - от 1000 Гц. Верхний предел определяется возможностями измерительного прибора [26]. Как следствие, в спектрах этих величин наилучшим образом проявляются гармонические составляющие вибраций указанных диапазонов. Для НЧ колебаний могут использоваться специальные датчики.

Как указывалось выше, метод контроля значения виброскорости реализован в самых простых средствах вибрационного контроля. В приборах отечественного производства он реализован:

- в виброметре ViPen производства фирмы Вибро-Центр;

- в виброметре PION производства фирмы «ТИК».

Рисунок 1.5 - Ручные виброметры У1Реп и Т1К-РЮК с функцией измерения среднеквадратичного значения виброскорости

На рисунке 1.5 изображены простейшие ручные приборы измерения СКЗ виброскорости УГРеп, производства ООО Производственно-внедренческая фирма «Вибро-Центр», и Т1К-РЮК, производства ООО Научно-производственное предприятие "ТИК", г. Пермь. В таблицах 1.1 и 1.2 приведены характеристики приборов.

Таблица 1.1 - Характеристики ручного виброметра У^Реп

Характеристики

Диапазон измерения СКЗ виброскорости в диапазоне 10-1000 Гц, мм/сек 1 - 70

Диапазон измерения СКЗ виброускорения, Пик, м/с2 1 - 50

Похожие диссертационные работы по специальности «Электротехнические комплексы и системы», 05.09.03 шифр ВАК

Список литературы диссертационного исследования кандидат наук Бычков Анатолий Владимирович, 2022 год

СПИСОК ЛИТЕРАТУРЫ

1. Айфичер, Э. Цифровая обработка сигналов: практический подход / Э. Айфичер, Б. Джервис. - 2-е изд. - М.: Издательский дом «Вильямс», 2004. -992 с.

2. Алексеева, Т.В. Техническая диагностика гидравлического привода / Т.В. Алексеева, В.Д. Бабанская, Т.М. Башта [и др.]. - М.: Машиностроение, 1989. - 264 с.

3. Анго, А. Математика для электро- и радиоинженеров / А. Анго // - М.: «Наука», 1964. - 772 с.

4. Балицкий, Ф.Я. Диагностическая информативность полных спектров и орбит при анализе сигналов относительных вибросмещений вала в опорах скольжения / Ф.Я. Балицкий, А.Г. Соколова // Вестник научно-технического развития. - 2010. - № 2 (30). - С. 30-39.

5. Барков, А.В. Мониторинг и диагностика роторных машин по вибрации: учебн. пособие / A.B. Барков, H.A. Баркова, А.Ю. Азовцев. - СПб.: Изд. центр СПбГМТУ, 2000. - 159 с.

6. Баркова, H.A. Введение в виброакустическую диагностику роторных машин и оборудования: учеб. пособие. - СПб.: Изд. центр СПбГМТУ, 2003. - 160 с.

7. Басс, Ф.Г. Рассеяние волн на статистической неровной поверхности / Ф.Г. Басс, И.М. Фукс. - М.: Советское радио, 1972. - 424 с.

8. Бражников, Н.И. Ультразвуковая фазометрия / Н.И. Бражников. - М.: Энергия, 1968. - 272 с.

9. Браташ, О.В. Анализ методов вибродиагностики асинхронных двигателей / О.В. Браташ, А.П. Калинов // Энергетика. Известия высших учебных заведений и энергетических объединений СНГ. - 2012. - №5. - С. 43-50.

10. Брэдшоу, П. Введение в турбулентность и ее измерение / П. Брэдшоу. -М.: Мир, 1974. - 278 с.

11. Быстрицкий, Г.Ф. Выбор и эксплуатация силовых трансформаторов / Г.Ф. Быстрицкий, Б.И. Кудрин. - М.: Издательский центр «Академия», 2003. - 176 с.

12. Бычков, А.В. Увеличение временного разрешения импульсного ультразвукового контроля с помощью корреляционной обработки сигналов / И.Ю. Бычкова, А.В. Бычков // Динамика нелинейных дискретных электротехнических и электронных систем. Матер. XII Всероссийской науч.-техн. конф. (Чебоксары, 1-3 июня 2017 г). - Чебоксары: Изд-во Чуваш. ун-та, 2017. -С. 44-48.

13. Бычков, А. В. Бесконтактный ультразвуковой контроль: цифровое формирование и обработка сигналов / И.Ю. Бычкова, А.В. Бычков, Л.А. Славутский // Международная науч. конф. «Нигматуллинские чтения-2018» (Казань, 9-12 октября 2018 г.). - Казань: Изд-во АН РТ, 2018. - Т. 1. - С. 191-194.

14. Бычков, А.В. Возможности корреляционной обработки импульсных ультразвуковых сигналов при бесконтактном виброконтроле оборудования электроэнергетики / А.В. Бычков, Л.А. Славутский // Вестник Чувашского университета. - 2018. - № 3. - С. 24-32.

15. Бычков, А.В. Корреляционная обработка сигналов для уменьшения погрешности импульсных ультразвуковых измерений / И.Ю. Бычкова, А.В. Бычков, Л.Н. Васильева, Б.М. Гильденберг, В.И. Горбунов // Вестник Чувашского университета. - 2018. - № 3. - С. 163-171.

16. Бычков, А.В. Цифровая фазовая модуляция и корреляционная обработка ультразвуковых сигналов для импульсных измерений в неоднородной среде / И.Ю. Бычкова, А.В. Бычков, Л.А. Славутский // Приборы и техника эксперимента. - 2018. - № 3. - С. 114-119.

17. Бычков, А.В. Обработка импульсных ультразвуковых сигналов при активном виброконтроле оборудования электроэнергетики / А.В. Бычков // САПР и моделирование в современной электронике. Сб. науч. труд. II Международной науч.-практич. конф. (Брянск, 24-25 октября 2018 г.). Под редакцией Л.А. Потапова, А.Ю. Дракина. - Брянск: Изд-во БГТУ, 2018. - Ч. 1. - С. 127-130.

18. Бычков, А.В. Низкочастотные вибрации электрооборудования: ультразвуковой импульсный контроль / А.В. Бычков // Динамика нелинейных дискретных электротехнических и электронных систем. Матер. XIII Всероссийской науч.-техн. конф. (Чебоксары, 7 июня 2019 г). - Чебоксары: Изд-во Чуваш. ун-та, 2019. - С. 58-62.

19. Бычков, А.В. Нейроалгоритм для оценки частоты вибраций электрооборудования при дистанционном ультразвуковом контроле / А.В. Бычков, П.Н. Федорова, Л.А. Славутский // Вестник Чувашского университета. - 2020. - № 1. - С. 67-77.

20. Бычков, А.В. Вибрации в разных диапазонах частот: особенности активного ультразвукового контроля / А.В. Бычков, В.Г. Григорьев // Информационные технологии в электротехнике и электроэнергетике. Матер. XII Всероссийской науч.-техн. конф. (Чебоксары, 5 июня 2020 г). - Чебоксары: Изд-во Чуваш. ун-та, 2020. - С. 69-72.

21. Бычков, А.В. Использование нейронной сети для определения глубины и частоты модуляции сигнала при ультразвуковом виброконтроле электрооборудования / А.В. Бычков, И.Ю. Бычкова, Н.Н. Суслова, К.К. Алимов // Вестник Чувашского университета. - 2021. - № 3. - С. 21-30.

22. Бычков, А.В. Система виброконтроля электродвигателя с активным ультразвуковым зондированием / А.В. Бычков // Вестник Чувашского университета. - 2022. - № 1. - С. 34-43.

23. Рытов, С.М. Введение в статистическую радиофизику / С.М. Рытов, Ю.А. Кравцов, В.И. Татарский. - М.: Наука, 1978. - 464 с.

24. Войт, С.С. Отражение звуковых волн от колеблющейся плоскости / С.С. Войт // Труды Московского Математического Общества. - 1956. - Т. 5. -С. 81-88.

25. Волковец, А.И. Радиоволновой бесконтактный метод измерения параметров движения и вибрации / А.И. Волковец, Д.Ф. Руденко, А.В. Гусинский, А.М. Кострикин // Доклады БГУИР. - 2007. - № 4(20). - С.58-64.

26. Гаврилин, А.Н. Диагностика технологических систем: учебное пособие. Часть 2 / А.Н. Гаврилин, Б.Б. Мойзес. - Томск: Изд-во Томского политехнического университета, 2014. - 128 с.

27. Горбатов, А.А. Акустические методы и средства измерения расстояний в воздушной среде / А.А. Горбатов, Г.Е. Рудашевский. - М.: Энергия, 1973. -144 с.

28. Гордеев, Б.А. Применение ультразвукового метода в вибродиагностике легковых автомобилей / Б.А. Гордеев, М.В. Новожилов, Д.И. Образцов // Метрология. - 2000. - № 6. - С. 33-36.

29. ГОСТ20911-89. Техническая диагностика. Термины и определения: утвержден и введен в действие Постановлением Государственного комитета СССР по управлению качеством продукции и стандартам от 26.12.89 № 4143: дата введения 1991-01-01.

30. ГОСТ 32144-2013. Электрическая энергия. Совместимость технических средств электромагнитная. Нормы качества электрической энергии в системах электроснабжения общего назначения: утвержден и введен в действие Приказом Федерального агентства по техническому регулированию и метрологии от 22 июля 2013 г. № 400-ст: дата введения 2014-07-01.

31. Гумбин, М.П. Анализ состояния электроэнергетики России и перспективы развития / М.П. Гумбин // Вестник Красноярского государственного аграрного университета. - 2014. - № 10. - С. 51-55.

32. Гунгер, Ю.Р. Ультразвуковой и вибрационный контроль состояния железобетонных стоек опор и фундаментов воздушных линий электропередачи / Ю.Р. Гунгер, А.Г. Тарасов, В.Т. Чернев // Электроинфо. - 2005. - № 11. - С. 40-43.

33. Двигатели асинхронные типа АИР. Руководство по эксплуатации. 905.00.00.00 РЭ. - 10 с. - ООО «Электромаш».

34. Добеши, И. Десять лекций по вейвлетам / И. Добеши. - Ижевск: НИЦ «Регулярная и хаотическая динамика», 2001. - 464 с.

35. Журавлев, О.А. Лазерная виброметрия механических конструкций / О.А. Журавлев, Ю.Н. Шапошников, А.В. Ивченко. - Самара: Издательство Самар. Гос. Аэрокосм. Ун-та, 2006. - 72 с.

36. Зайцев, Е.А. Использование спектрального анализа методом Берга при построении программно-математического обеспечения оптических систем вибродиагностики / Е.А. Зайцев, В.Е. Сидорчук, А.Н. Шпилька // Приборы и методы измерений. - 2016. - Т. 7. - № 2. - С. 186-194.

37. Застрогин, Ю.Ф. Лазерные приборы вибрационного контроля и точного позиционирования / Ю.Ф. Застрогин, О.Ю. Застрогин, А.З. Кулебякин. -М.: Машиностроение, 1995. - 320 с.

38. Зотов, В.Ю. Новый инструментальный комплект Spartan-3E Starter Kit для практического освоения методов проектирования встраиваемый микропроцессорных систем на основе ПЛИС семейства FPGA фирмы Xilinx /

B.Ю. Зотов // Компоненты и технологии. - 2006. - № 10. - С. 64-69.

39. Ившин, И.В. Виброакустический метод определения технического состояния зубчатых передач / И.В. Ившин, С.Ю. Гармонов, В.А. Гаврилов, М.Е. Широков // Вестник Казанского технологического университета. Машиностроение и приборостроение, вопросы метрологии. - 2009. - № 2. -

C. 120-124.

40. Иориш, Ю.И. Виброметрия. - Изд. 2-е, перераб. и доп. / Ю.И. Иориш. -М.: Машгиз, 1963. - 772 с.

41. Исмагилов, Ф.Р. Электромеханические преобразователи для вибрационной техники / Ф.Р. Исмагилов, P.P. Саттаров. - М.: Машиностроение, 2008. - 276 с.

42. Ихлазов, С.З. Вибродиагностика методом фрактального анализа / С.З. Ихлазов // Омский научный вестник. - 2012. - № 2 (110). - С. 235-239.

43. Карасев, В.А. Голографические неразрушающие исследования. Пер. с англ. / Под ред. В.А. Карасева. - М.: Машиностроение, 1979. - 448 с.

44. Клюев, В.В. Приборы и системы для измерения вибрации, шума и удара. Справочник. В 2-х томах. Т. 2 / В.В. Клюев. - М.: Машиностроение, 1978. -439 с.

45. Копылов, И.П. Электрические машины: Учебник для вузов / И.П. Копылов. - М.: Энергоатомиздат, 1986. - 360 с.

46. Костюков, А. С. Изменчивость случайной погрешности ультразвуковых импульсных и доплеровских измерений в неоднородной среде / А.С. Костюков, М.В. Никандров, Л.А. Славутский // Нелинейный мир. - 2009. - Т. 7. - № 9. -С. 700-705.

47. Костюков, В.Н. Основы виброакустической диагностики и мониторинга машин: учеб. пособие / В.Н. Костюков, А.П. Науменко. - Омск: Изд-во ОмГТУ, 2011. - 360 с.

48. Костюков, В.Н. Оценка возможности использования фрактального анализа для целей диагностики машинного оборудования / В.Н. Костюков, С.Н. Бойченко, Д.В. Павленков // Эксплуатационная надежность локомотивного парка и повышение эффективности тяги поездов: матер. Всероссийской науч.-техн. конф. с междунар. участием. - Омск: ОмГУПС, 2012. - С. 153-157.

49. Котельников, В.А. О пропускной способности «эфира» и проволоки в электросвязи / В.А. Котельников // Всесоюзный энергетический комитет. Материалы к I Всесоюзному съезду по вопросам технической реконструкции дела связи и развития слаботочной промышленности. По радиосекции. - М.: Управление связи РККА, 1933. - С. 1-19.

50. Кочегаров, И.И. Бесконтактное измерение вибрации / И.И. Кочегаров, С.А. Моисеев // Труды Международного симпозиума «Надежность и качество»: в 2-х томах. - Пенза: Изд-во ПГУ, 2015. - Т. 2. - 384 с.

51. Краснощеков, И.П. Лазерный виброметр повышенной чувствительности / И.П. Краснощеков, А.Н. Самойлов, В.И. Типашев, Л.Д. Морозов // Электроника. - 2008. - № 6. - С. 98-101.

52. Краснощеков, И.П. Портативный лазерный виброметр / И.П. Краснощеков, Л.Д. Морозов, А.И. Пихтелев, А.Н. Самойлов, В.И. Типашов // Датчики и системы. - 2009. - № 8. - С. 30-32.

53. Краснощеков, И.П. Лазерный анализатор вибраций / И.П. Краснощеков, А.И. Пихтелев, А.Н. Самойлов [и др.] // Радиолокация, навигация, связь. Матер. XVIII Международной науч.-техн. конф. - Воронеж: НПФ «САКВОЕЕ», 2012. -С. 2132-2144.

54. Леньков, С.В. Измерение амплитуды синусоидального сигнала ускорения в системе вибродиагностики с помощью БФП и сигнатурного спектрального анализа / С.В. Леньков // Датчики и системы. - 2004. - № 12. -С. 12-14.

55. Леньков, С.В. Определение максимальной частоты спектра сигнала при оцифровке в системе вибродиагностики / С.В. Леньков // Измерительная техника. - 2005. - № 6. - С. 46-49.

56. Липатников, К.А. Система вибродиагностики технически сложных объектов на основе адресных волоконных Брэгговских структур / К.А. Липатников // Фотон-экспресс. - 2021. - № 6 (174). - С. 424-425.

57. Матюшкова, О.Ю. Современные методы виброакустического диагностирования. / О.Ю. Матюшкова, В.Ю. Тэттэр // Омский научный вестник. -2013. - № 3 (123). - С. 294-299.

58. Муравьев, И.Ю. Вибродиагностика электродвигателя с использованием адресных волоконных Брэгговских решеток / И.Ю. Муравьев, А.Е. Добрыдин, А.А. Кузнецов, К.А. Липатников, К.Г. Каримов // Фотон-экспресс. - 2021. -№ 6 (174). - С. 410-411.

59. Островский, Ю.И. Голографическая интерферометрия / И.Ю. Островский, М.М. Бутусов, Г.В. Островская. - М.: Наука, 1977. - 339 с.

60. Пасынкова, И.А. Вынужденные колебания неуравновешенного ротора / И.А. Пасынкова // Вестник СПбГУ. - 2014. - Т. 1 (59). - № 2. - С. 292-302.

61. Патент № 73738 Российская Федерация, МПК G01N 29/00 (2006.01). Устройство для контроля изделия : № 2007141504/22 : заявлено 01.11.2007 :

опубликовано 27.05.2008 / Ившин И. В., Кочергин А. В., Гаврилов В. А. [и др.] ; патентообладатель Ившин И. В. - 10 с. - Текст : непосредственный.

62. Патент № 2568992 Российская Федерация, МПК G01B 17/00 (2006.01). Ультразвуковой фазовый вибропреобразователь : № 2013129437/28 ; заявлено 26.06.2013 ; опубликовано 20.11.2015 / Гордеев Б. А., Куклина И. Г., Охулков С. Н. [и др.] ; патентообладатель Федеральное Государственное бюджетное учреждение науки Институт проблем машиностроения Российской академии наук (ИПМ РАН). - 13 с. - Текст : непосредственный.

63. Российская Федерация. Правительство. Энергетическая стратегия Российской Федерации до 2035 года. Утв. распоряжением Правительства Российской Федерации 9 июня 2020 г. № 1523-р // Правительство Российской Федерации. - М.: 2020. - 93 с.

64. Русинов, В.Н. Murata: пьезоэлектрические, магниторезистивные и пироэлектрические датчики. БЭК. / В.Н. Русинов. - М.: ДМК Пресс, 2016. - 80 с.

65. Русов, В.А. Диагностика дефектов вращающегося оборудования по вибрационным сигналам / В.А. Русов. - Пермь: ДимРус, 2012. - 252 с.

66. Русов, В.А. Диагностический мониторинг высоковольтных силовых трансформаторов / В.А. Русов. - Пермь: ДимРус, 2012. - 159 с.

67. Свидетельство о государственной регистрации программы для ЭВМ № 2021666569. Программа моделирования и цифровой обработки сигналов при импульсном ультразвуковом виброконтроле : № 2021665777 ; заявлено 08.10.2021 ; опубликовано 15.10.2021 / Бычков А.В., Бычкова И.Ю., Славутский Л.А. ; правообладатель Федеральное государственное бюджетное образовательное учреждение высшего образования «Чувашский государственный университет имени И.Н.Ульянова». - 8,09 Мб. - Текст : электронный // Федеральный институт промышленной собственности : [сайт]. - URL: https://new.fips.ru/ofpstorage/ Doc/PrEVM/RUNWPR/000/002/021/666/569/2021666569-00001/document.pdf (дата обращения: 21.03.2022).

68. Скучик, Е. Основы акустики. В 2-х томах. Т.1 / Е. Скучик. - М.: Мир, 1976. - 520 с.

69. Славутский, Л.А. Волновые процессы и устройства: Учеб. пособие / Л.А. Славутский. - Чебоксары: Изд-во Чуваш. ун-та, 2001. - 223 с.

70. Славутский, Л.А. Основы регистрации данных и планирования эксперимента / Л.А. Славутский. - Чебоксары: Изд-во Чуваш. ун-та, 2006. - 200 с.

71. Таджибаев, А.И. Автоматизированный виброконтроль турбоагрегатов / А.И. Таджибаев, С.М. Соколов, М.П. Цыпкин // Современные автоматизированныесистемы управления, контроля и диагностики энергетических объектов. - 1999. - № 1. - С. 146-158. - СПб.: ПЭИПК, 1999. -173 с.

72. Таджибаев, А.И. Вибродиагностика / ГШ. Розенберг, Е.З. Мадорский, А.И. Таджибаев [и др.]. - СПб.: ПЭИПК, 2003.- 284 с.

73. Тэттэр, В.Ю. Фактор Куртозиса как диагностический признак неисправностей подшипника / А.Ю. Тэттэр, В.Ю. Тэттэр, В.И. Щедрин, Е.Н. Сидоров, К.Л. Буяльский // Контроль. Диагностика. - 2010. - № 3. - С. 294299.

74. Федер, Е. Фракталы. - пер. с англ. / Е. Федер. - М.: Мир, 1991. - 254 с.

75. Франкфурт, У.П. Оптика движущихся тел / У.П. Франкфурт, А.М. Френк. - М.: Наука, 1972. - 212 с.

76. Фридман, A. Что такое «Крест-фактор»? И почему он используется // Вибрационная диагностика. - 2005. - № 1. - С. 30-31.

77. Agoston, K. Fault Detection of the Electrical Motors Based on Vibration Analysis / K. Agoston // Procedía Technol. - 2015. - No. 19. - PP. 547-553.

78. Arias-Montiel, M. Active Vibration Control in a Rotor System by an Active Suspension with Linear Actuators / M. Arias-Montiel, G. Silva-Navarro, A.A. García // Journal of Applied Research and Technology. - 2014. - No. 12(5) - PP. 898-907.

79. Bagheri, M. Transformer Fault Condition Prognosis Using Vibration Signals Over Cloud Environment / M. Bagheri, A. Zollanvari, S. Nezhivenko // IEEE Access. PP(99). - 2018. - No. 6. - PP. 9862-9874.

80. Barker, R.H. Group synchronizing of binary digital sequences / R.H. Barker // Communication theory. London: Butterworth. - 1953. - PP. 273-287.

81. Barshan, B. Performance comparison of four time-of-flight estimation methods for sonar signals / B. Barshan, B. Ayrulu // Electronics letters. - 1998. -Vol. 34. - No. 16. - PP. 1616-1617.

82. Basenko, KLaser Control and Measuring Complex for Non-contact Vibration Control of the Power Transformer Technical Condition / V. Basenko,

0. Vladimirov, I. Ivshin, Nizamiev M., I. Usmanov // Proceedings of ICEPP 2021. ICEPP 2021. Lecture Notes in Civil Engineering. - 2021. - Vol. 190. - PP.157-167.

83. Beltle, M. Vibration analysis of power transformers / M. Beltle, S. Tenbohlen // Proc. 18th Int. Symp. High Voltage Engineering. - Seoul: 2013. -PP. 1816-1821.

84. Bentley, D.E. Fundamentals of rotating machinery diagnostics / D.E. Bentley, C.T. Hatch, B. Grissom. - Minden, NV: Bentley Pressurized Bearing Press, 2002. - 726 p.

85. Bogert, B.P. The Quefrency Alanysis of Time Series for Echoes: Cepstrum, Pseudo Autocovariance, Cross-Cepstrum and Saphe Cracking / B.P. Bogert, M.J. R. Healy, J.W. Tukey // Proceedings of the Symposium on Time Series Analysis (M. Rosenblatt, Ed). - New York: Wiley, 1963. - Chapter 15. - PP. 209-243.

86. Borwein, P. Polyphase sequences with low autocorrelation / P. Borwein, R. Ferguson // IEEE Transactions on information theory.- 2005. - Vol. 51. - Issue 4. -PP. 1564-1567.

87. Bychkov, A. Digital Phase Modulation and Correlation Processing of Ultrasound Signals for Pulsed Measurements in an Inhomogeneous Medium /

1.Y. Bychkova, A.V. Bychkov, L.A. Slavutskii // Instruments and experimental techniques. - 2018. - No. 3. - Vol. 61 - PP. 421-426.

88. Bychkov, A. Active Ultrasonic Vibration Control of Electrical Equipment: Correlation Signal Processing / A. Bychkov, I. Bychkova, L. Slavutskii // 2019 International Ural Conference on Electrical Power Engineering (UralCon). -Chelyabinsk: 2019. - PP. 244-248.

89. Bychkov, A. Neural network for pulsed ultrasonic vibration control of electrical equipment / A. Bychkov, L. Slavutskii, E. Slavutskaya // 2020 International

Ural Conference on Electrical Power Engineering (UralCon). - Chelyabinsk: 2020. -PP. 24-28.

90. Chen, C.-T. A review of spectral analysis for low-frequency transient vibrations / Chin-Tsun Chen, Luh-Maan Chang, Chin-Hsiung Loh, Chin-Hsiung Loh // 2020 Journal of Low Frequency Noise Vibration and Active Control. - 2020. -Vol. 40 (2). - PP. 1-16.

91. Derevyanko, A.E. Vibrodiagnostics of the Mechanical Frequency Converter as a Part of Induction Installation / A.E. Derevyanko, T.A. Funk, O.G. Brylina // 2021 International Ural Conference on Electrical Power Engineering (UralCon). -Chelyabinsk: 2021. - PP. 598-602.

92. Dillon, T.S. Neural Networks Application in Power Systems / T.S. Dillon, D. Niebur. - London: CRL Ltd. Publishing, 1996.

93. Firestone, Floyd A. The supersonic reflectoscope, an instrument for inspecting the interior of solid parts by means of sound waves / Floyd A. Firestone // The journal of the acoustical society of America. - 1946. - Vol. 17. - No. 3. - PP. 287299.

94. Gielniak, J Investigation of Distribution Transformers Vibrations in Terms of Core and Winding Condition Assessment / J. Gielniak, M. Czerniak // Energies. -2022. - № 15(1). - PP. 1-18.

95. Huang, G. Optimization of VEDs for Vibration Control of Transmission Line Tower / G. Huang, J. Hu, Y. He // Advances in Civil Engineering. - 2021. - No. 3. - PP. 1-13.

96. Huang, Z. A Sound-based positioning system with centimeter accuracy for mobile robots in a greenhouse using frequency shift compensation / Z. Huang, L.W.J. Tsay, T. Suzuki, Y. Ogawa, K. Naoshi, T. Shiigi, H. Nakanishi // Computers and Electronics in Agriculture. - 2021. - Vol. 187. - No. C. - PP. 106235.

97. Javorskyj, I. Methods and means of early vibrodiagnostics of bearing units of rotary mechanisms / I. Javorskyj, R. Yuzefovych, O.V. Lychak, I.H. Stetsko // Computer Science. Tehniceskaâ diagnostika i nerazrusaûsij control. - 2021. - PP. 3037.

98. Kammerer, Ch. Classification Methods for Motor Vibration in Predictive Maintenance / C. Kammerer, M. Gaust, P. Starke, A. Jesser // 2nd International Conference on Machine Learning Techniques and Data Science (MLDS 2021). -London: 2021. - PP. 49-60.

99. Karki, J. Signal Conditioning Piezoelectric Sensors. Application Report / J. Karki. - Texas Instruments, 2000. - 6 p. (SL0A033A)

100. Kazutaka, A. Self-mixing laser Doppler vibrometry with high optical sensitivity: Application to real-time sound reproduction / A. Kazutaka, O. Kenju, Ko Jing-Yuan // New Journal of Physics. - 2003. - No. 5(1):8 - PP. 8.1-8.9.

101. Kumar, K. Advanced Applications of Neural Networks and Artificial Intelligence: A Review / K. Kumar, G.S.M. Thakur // International Journal of Information Technology and Computer Science. - 2012. - No. 6. - PP. 57-68.

102. Matti, D. Combining LiDAR space clustering and convolutional neural networks for pedestrian detection / D. Matti, H.K. Ekenel, J.P. Thiran // 2017 14th IEEE International Conference on Advanced Video and Signal Based Surveillance. -Lecce: 2017. - PP. 1-6.

103. Okhtilev, M. Monitoring the Precession of Gas Turbine Engines Rotor Systems and Evaluating the State of Inter-Rotor Bearings / M. Okhtilev, V. Khimenko, V. Koromyslichenko, A. Klucharev, A. Zubko // Probleme energeticii rigionale. - 2018.

- No. 1(36). - PP. 12-25.

104. Panda, A. Use of vibrodiagnostics to predict phenomena in serial automotive production / A. Panda, S. Cehelsky // MM Science Journal. - 2021. - No. 4.

- PP. 4726-4730.

105. Prochâzka, P. Non-contact measurement of stationary characteristics of shrouded steam turbine blades under rotation / P. Prochâzka, F. Vanek // 2015 IEEE Instrumentation and Measurement Technology Conference (I2MTC). - Pisa: 2015. -PP. 2084-2088.

106. Raju, M. Ultrasonic Distance Measurement With the MSP430. Application Report / M. Raju. - Texas Instruments, 2001. - 18 p. (SLAA136A)

107. Samarasinghe, S. Neural Networks for Applied Sciences and Engineering: From Fundamentals to Complex Pattern Recognition. - 1-st edition / S. Samarasinghe. -Boca Raton: Auerbach Publications. - 2006. - 570 p.

108. Schiefer, W. Klinische Echo-Encephalographie / W. Schiefer, E. Kazner. -Berlin: Springer Verlag, 1967. - 202 p.

109. Schmidhuber, J. Deep Learning in Neural Networks: An Overview / J. Schmidhuber // Neural Networks. - 2014. - No. 61. - PP. 85-117.

110. Spartan-3E FPGA Starter Kit Board User Guide. - UG230 (v1.2), January 20, 2011.

111. Su, H. Error back propagation for sequence training of context-dependent deep networks for conversational speech transcription / H. Su, G. Li, D. Yu, F. Seide // In Proceedings of International Conference on Acoustics Speech and Signal Processing (ICASSP). - Vancouver: 2013. - PP. 6664 - 6668.

112. Tammi, K. Active control of radial rotor vibrations. Identification, feedback, feedforward, and repetitive control methods [Roottorin radiaalivrhtelyjen aktiivinen hallinta] / K. Tammi. - Espoo: VTT Publications 634, 2007. - 156 p.

113. Wang, Q. Variation Analysis of Vibration Phase Difference between the Horizontal and Vertical Directions during Run-up Process / Q. Wang, L. Wang, B. Sun, C. Zhou, C. Li // Journal of Physics Conference Series. - 2020. - Vol. 1605. - No. 1. -PP. 1-6.

114. Witten, I.H. Data Mining: Practical Machine Learning Tools and Techniques. - 3-d ed. / I.H. Witten, E. Frank, M.A. Hall, M. Kaufmann. - Amsterdam: Elsevier, 2011. - 629 p.

115. Zhang, Z. Online monitoring research of transformer vibration based on labview / Z. Zhang, Y. Wu, S. Zhang, P. Jiang, H. Ye // IOP Conference Series: Journal of Physics. - 2018. - PP. 1-9. - Conf. Series 1168 (2019)

ПРИЛОЖЕНИЕ 1. Акт об использовании результатов ООО НПП «ЭКРА»

ПРИЛОЖЕНИЕ 2. Акт об использовании результатов ООО «Интеллектуальные сети»

ООО «Интеллектуальные Сети» Россия, Чувашская республика, г. Чебоксары, ул. Пристанционная. 1/9. оф. 26

Тел.: +7 (831) 280-97-89 E-maíJ: i n íb@ i ^ridi .ru

УТВЕРЖДАЮ Директор, к .т.н.

Ш^МШ^ „ 2022 г.

ffffe « г ó -Гл п. г

8 if i** Ms

iGftuS \Ц

A#k Ш

- j"

об ийпопьзованйи результатов дисе*ртацж>нног6 исследования ЬычКОиа Анатолия Владимировччй на тему «Система активного дистанционного виброконтроля электрооборудования на основе цифровой обработки ультразвуковых сигналов», представленного па соискание ученой степени кандидата технических наук

Составлен настоящий в том, что результаты дисссртациошюй работы Бычкова Анатолия Владимировича «Система активного дистанционного в ибро контроля электрооборудования на основе цифровой обработки ультразвуковых Сигналов)), представлен ной на соискание ученой степени кандидата технических наук, использованы в ООО «Интеллектуальные сети» при разработке систем мониторинга и контроля технологических процессов на объектах электроэнергетики.

Предлагаемая в работе система в ибро контроля позволяет расширить возможности вибрационного контроля электрооборудования. Система используется для вибрационной диагностики оборудования технологических процессов и при пуско-иаладочнык работах. Возможно использование для вибродиагностики высоковольтного оборудования, не имеющего подвижных элементов и требующего контроля вибраций. Приборы ультразвукового вибрационного контроля имеют невысокую стоимости.

Технический дирекгор

Зам. директора по управлению проектами

M-D- Сергеев Л, А. Ларюхин

ПРИЛОЖЕНИЕ 3. Справка о внедрении результатов ФГБОУ ВО «Чувашский государственный университет имени И.Н. Ульянова»

мююм науки гостии

Н'11^ I11нн' I снЛ. («рС! РШ1Н

уарч^шм и«' кькГш« □ иЛрншплш)!

ч11упи|||СN.11II ],',|4.Л.1^]]С[]Ь1'|[1Г1.11Г

>11МИГ|КН1| | НШН [I I I. УДЫШЮНЧ"

1ФПИТУ Ж) I У лм. И Н Утрап |

Рл(Х1-П ФЫ1№л1ШЛЯЛ Лглллипл АСЛА ПШ

МИМИСГНЧТНИ

фелсрашш астл и£лр пари^ш

«ИЛ.Ушанйи кч^и? Ч&каш патшалЛл уиннерсшсчС» патшалй* бимигсг

учрежлошн£

47491! :I¡■.ГЛГСГ.Т! тут. М>геяи пр.

Мо-_|.|'Пьши' 1![|., !Л, Г. ЧпбМьф^,

гел. фшш. (П5!)4ПГО

опШисзюижи нинизадтзз

СПРАВКА

Ч 11НС.1рГ11НГН р(]ГЛ)>Г1Тав ЛКПр|ЩНА1В«П КСЛСДОПОа

Ам 11мин Владимира-шта

нагажу (Снстсмл ШИШИП) ДКСТЫЩмЯШЮТ ВнСрошГфйЩ Н4

оспоис илфрипон Фбртблш ультразвуков ык сш ешовч

Результаты дисссрпцщншвЯ райпгы Ъычком Алвтаанм В;1Л1МЫ1[|*>Ы[Чо на тту ■нСнстмв ИКТИНИЧДЗ ЛРСтаШШОЕЕНОГО внбрйкозлроля ГЭЛСКТрСЮборуЛОЫШЕЕЯ ЕЮ Млинс [|цфр(1]Н1и у.пыричнукпнич слгнлдонл* пралс гаЗкленниД ил сонсвдим? ученой

степени калдидтгп тоинчккн* лпу* по Оклшыикш 05.04.0j (Отсктротсхничсскне комплексы II системы к» шпалцуются на кафедре лвтоматики и управления п пянкчвовх системах Ф130У ВО «Чувлшсщн тпсударожиниП уныерентет т. ИЛ Удывш* л угей»™ пред«« при подготовке щгщяр» ло направлению 27X4.04 - «Управлении в

тонических снстечлхи (профиль "Вс-фвцжмне системы уприппешя") по дмецнш.....ы

чЛпшсировалиг ч моголы 9В70м,иизадии жеперкмсттш ее ((АКИНигкпфаитнч пркпярсишдо средств и снстеч упрашшшии-ч J[pк полготрргс бакалавров по Ешнршмснш» 27.03.04 Тприкнн и гсхиегчсскик (лрофЧ4Ь "Улраллснне и

Ешфорыатгша в тсыЕнческлк скшох'] по дпешшлтак «Чнскнщи истоды в ецп^щ упршснши* и при подготоакс выгщцушх шы-ш^лхаинокки* работ«

И.Е- ПовсрнЕюв

] ]рирскфир по учейц^ь?

■ :

\

Орпш Г.П.

лоб. Л-14

ПРИЛОЖЕНИЕ 4. Свидетельство о государственной регистрации

программы для ЭВМ

ПРИЛОЖЕНИЕ 5. Код программы для FPGA XC3S500E

library IEEE;

use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

entity Main is

port (clk_50M: in std_logic;

Btn_Down: in std_logic;

TestOutput: out std_logic_vector(1 downto 0) ) ;

end Main;

architecture Behavioral of Main is

--- Клоки и делители частот ---

constant PPP_2 00: integer := 99; constant PPP_04 0KHz: integer := 0; constant PPP_080KHz: integer := 624;

shared variable ImpCntr_200: integer range 0 to PPP_200 := 0; shared variable ImpCntr_04 0KHz: integer range 0 to PPP_040KHz :=

0;

shared variable ImpCntr_0 8 0KHz: integer range 0 to PPP_080KHz :=

0;

signal clk_200: std_logic := '0';

signal clk_200_delay: std_logic := '0'; signal clk_040KHz: std_logic := '0';

signal clk_080KHz: std_logic := '0';

--- Импульс ---

constant MaxBitCnt: integer := 15;

constant PackageTypesCount: integer := 8; constant PackageType: integer := 0;

type TPackage is record BitCnt: integer; PPBCnt: integer;

Package: std_logic_vector(0 to MaxBitCnt-1); end record;

type TPackageRecord is array (0 to PackageTypesCount-1) of TPackage;

constant PackageRecord: TPackageRecord := (

5, 8, "000000000000000"), -- 00

5, 8, "010100000000000"), -- 01 ФМ

7, 6, "010101000000000"), -- 02

11, 4, "010101010100000"), -- 03

5, 8, "000100000000000"), -- 04 БК

7, 6, "000110100000000"), -- 05

11, 4, "000111011010000"), -- 06

5, 8, "000000000000000") -- 07 зарезервировано

shared variable PPBCntr: integer := 0;

shared variable BitCntr: integer range 0 to MaxBitCnt-1 := 0;

signal OnEventBeginSending: std_logic := '0'; signal OnEventEndSending: std_logic := '0'; signal Sending: std_logic := '0';

signal Package_Transceive: std_logic := '0';

shared variable Key_01: bit := '0'; shared variable Key_02: bit := '0'; shared variable OnEventBtnDown: std_logic := '0'; begin

-- Делитель 50МГц -> 8 0кГц

FrequencyDevider_080KHz:

process(clk_50M)

begin

if rising_edge(clk_50M) then

if (ImpCntr_0 8 0KHz >= 0) and (ImpCntr_0 8 0KHz < PPP_0 8 0KHz)

then

if ImpCntr_0 8 0KHz /= 0 then clk_080KHz <= '0'; else

clk_080KHz <= '1'; end if;

ImpCntr_0 8 0KHz := ImpCntr_0 8 0KHz + 1; elsif (ImpCntr_0 8 0KHz >= PPP_0 8 0KHz) then

ImpCntr_0 8 0KHz := 0; end if; end if;

end process FrequencyDevider_080KHz;

-- Делитель 8 0кГц -> 4 0кГц FrequencyDevider_040KHz: process(clk_080KHz) begin

if rising_edge(clk_080KHz) then clk_04 0KHz <= not(clk_04 0KHz); end if;

end process FrequencyDevider_04 0KHz;

— Делитель 40кГц -> 200Гц FrequencyDevider_200: process(clk_040KHz) begin

if rising_edge(clk_04 0KHz) then

if (ImpCntr_2 0 0 >= 0) and (ImpCntr_2 0 0 < PPP_2 00) then

ImpCntr_2 0 0 := ImpCntr_2 0 0 + 1; elsif (ImpCntr_2 0 0 >= PPP_200) then ImpCntr_2 0 0 := 0; clk_200 <= not(clk_200); end if; end if;

end process FrequencyDevider_200;

-- Z-1 - задержка для 4 0 кГц сигнала clk_2 0 0_Delayer:

process(clk_50M) begin

if rising_edge(clk_50M) then

clk_2 0 0_delay <= clk_200; end if;

end process clk_200_Delayer; BeginTheTransmitt:

process(clk_50M,clk_080KHz,clk_200,clk_200_delay) begin

if rising_edge(clk_50M) then

if (clk_200 = '1') and (clk_200_delay = '0') then OnEventBeginSending <= '1'; else

OnEventBeginSending <= '0'; end if; end if;

end process BeginTheTransmitt; Trigger:

process(OnEventBeginSending,OnEventEndSending) begin

if OnEventEndSending = '1' then

Sending <= '0'; else

if OnEventBeginSending = '1' then

Sending <= '1'; else

Sending <= Sending;

end if; end if; end process Trigger;

SendingProcess: process(clk_08 0KHz,clk_2 00) begin

if rising_edge(clk_080KHz) then OnEventEndSending <= '0'; if (Sending = '1') then

if (BitCntr >= 0) and (BitCntr <=

PackageRecord(PackageType).BitCnt-1) then

if (PPBCntr >= 0) and (PPBCntr <=

PackageRecord(PackageType).PPBCnt*2-1) then

PPBCntr := PPBCntr + 1;

if PPBCntr >= PackageRecord(PackageType).PPBCnt*2

then

PPBCntr := 0;

BitCntr := BitCntr + 1;

if BitCntr >= PackageRecord(PackageType).BitCnt

then

BitCntr := 0; OnEventEndSending <= '1'; end if;

end if; end if;

case PackageRecord(PackageType).Package(BitCntr) is when '0' => Package_Transceive <= clk_040KHz; when '1' => Package_Transceive <= not(clk_04 0KHz); when others => Package_Transceive <= '0'; end case;

elsif BitCntr >= PackageRecord(PackageType).BitCnt then BitCntr := 0; OnEventEndSending <= '1'; end if; else

Package_Transceive <= '0'; end if; end if;

end process SendingProcess;

-- Bbix

TestOutput(0) <= clk_04 0KHz;

TestOutput(1) <= Package_Transceive; end Behavioral;

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.