Моделирование формирования глубоких канавок в кремнии в Bosch-процессе тема диссертации и автореферата по ВАК РФ 05.27.01, кандидат физико-математических наук Шумилов, Андрей Станиславович

  • Шумилов, Андрей Станиславович
  • кандидат физико-математических науккандидат физико-математических наук
  • 2009, Москва
  • Специальность ВАК РФ05.27.01
  • Количество страниц 178
Шумилов, Андрей Станиславович. Моделирование формирования глубоких канавок в кремнии в Bosch-процессе: дис. кандидат физико-математических наук: 05.27.01 - Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах. Москва. 2009. 178 с.

Оглавление диссертации кандидат физико-математических наук Шумилов, Андрей Станиславович

СПИСОК СОКРАЩЕНИЙ.

ВВЕДЕНИЕ.

ГЛАВА 1. ОБЗОР МЕТОДОВ И СИСТЕМ МОДЕЛИРОВАНИЯ ФОРМИРОВАНИЯ

МИКРОСТРУКТУР В КРЕМНИИ В ПРОЦЕССАХ ПЛАЗМОХИМИЧЕСКОГО ТРАВЛЕНИЯ И ОСАЖДЕНИЯ.

1.1. Предмет и уровни моделирования. Общее представление о структуре модели

1.2. Классификация алгоритмов и методов моделирования.

1.2.1. Математические способы расчёта потоков частиц плазмы.

1.2.2. Способы представления профиля поверхности и его модификации.

1.3. Описание и эволюция существующих подходов и систем моделирования.

1.4. Постановка задачи.

ГЛАВА 2. ПРЕДЛАГАЕМЫЙ МЕТОД МОДЕЛИРОВАНИЯ.

2.1. Схема и краткое описание алгоритмов метода.

2.2. Представление профиля поверхности методом ячеек.

2.2.1. Расчёт поверхностных реакций.

2.2.2. Расчёт атомного баланса в клетках.

2.2.3. Алгоритмы удаления старых и добавления новых клеток.

2.3. Математические основы метода.

2.3.1. Генерация потоков частиц плазмы.

2.3.2. Организация и представление данных модели.

2.3.3. Построение цепочки поверхностных клеток.

2.3.4. Вычисление точки пересечения частицы плазмы с поверхностью.

2.3.5. Аппроксимация клеточной поверхности и её влияние на формирование профиля канавки.

2.3.6. Отражение частиц от поверхности.

ГЛАВА 3. МОДЕЛИРОВАНИЕ ТРАВЛЕНИЯ ГЛУБОКИХ КАНАВОК В ВОБСН

ПРОЦЕССЕ.

3.1. Описание циклического двух стадийного процесса глубокого травления в БГо/СдЕз-плазме.

3.2. Модели травления кремния и ФУП в плазме ББб.

3.2.1. Травление кремния и ФУП радикалами фтора.

3.2.2. Ионно-стимулированное травление ФУП фтором.

3.3. Модель осаждения ФУП.

3.3.1. Химическое осаждение ФУП.

3.3.2. Ионно-стимулированное осаждение ФУП.

3.4. Размеры клетки и пределы плотности для создания и удаления клеток.

3.5. Направленное травление, критический угол.

3.6. Механизм зеркального отражения ионов.

3.7. Моделирование глубокого травления кремния в Bosch-процессе. Сравнение с экспериментом.

3.7.1. Параметры моделирования.

3.7.2. Калибровка модели.

3.7.3. Эксперимент. Сравнение результатов моделирования с экспериментальными данными.

ГЛАВА 4. МОДЕЛИРОВАНИЕ ЭФФЕКТОВ ФОРМИРОВАНИЯ И УПРАВЛЕНИЕ

ПРОФИЛЕМ ГЛУБОКИХ КАНАВОК В BOSCH-ПРОЦЕССЕ.

4.1. Зависимость профиля канавки от частоты циклов Bosch-процесса.

4.2. Анализ чувствительности модели к продолжительности стадии осаждения и вероятностям реакций.

4.3. Моделирование канавок с разным наклоном стенок.

4.4. Управление профилем канавок.

4.5. Моделирование эффектов аспектнозависимого и аспектнонезависимого травления кремния.

4.6. Моделирование эффекта возникновения микроигл на поверхности кремния

ГЛАВА 5. ПРОГРАММНОЕ ОБЕСПЕЧЕНИЕ ПРЕДЛОЖЕННОЙ МОДЕЛИ.

5.1. Программный комплекс моделирования.

5.2. Интерфейс пользователя и требования к программе.

5.3. Представление данных модели в памяти и оптимизация быстродействия вычислений.

Рекомендованный список диссертаций по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Введение диссертации (часть автореферата) на тему «Моделирование формирования глубоких канавок в кремнии в Bosch-процессе»

Математическое моделирование является неотъемлемой частью исследований и разработок новых плазменных процессов травления и осаждения в технологии микро- и наноэлектроники [1-8]. Получение желаемой формы структур травления на всей поверхности подложки является одной из целей таких исследований. Разработанные эмпирические модели помогают как при оптимизации, так и при создании новых процессов травления. Однако в технологии микросистемной техники при разработке плазменных процессов формирования 81 микроструктур с высоким аспектным отношением [9-15] моделирование не является столь распространенным. Для создания различных элементов микроэлектромеханических систем (МЭМС) [9, 11, 16], микрогироскопов и микроакселерометров (рис. 1) был разработан специальный высокоскоростной и высокоанизотропный процесс травления 81. Он осуществляется в плазме 8Рб/С4Р8 или 8РбЮ2 высокочастотного индукционного (ВЧИ) разряда в циклическом двухстадийном (травление/пассивация) режиме (ВозсЬ-процесс) [9-13, 17-20]. Характеристики процесса зависят от многих параметров, и поэтому при их разработке моделирование процессов является необходимым элементом исследования, т. к. способствует не только правильности понимания механизма формирования микроструктур, но и дает возможность многократного проведения вычислительных экспериментов [9,2124]. Проведение реального эксперимента является непростой, достаточно дорогой задачей и значительно удлиняет цикл разработки изделий [4].

Моделирование многостадийных циклических процессов гораздо сложнее одностадийного моделирования, именно поэтому опубликованных работ по многостадийному моделированию не так много. Симуляция многократно чередующихся стадий процесса требует от модели более высокой вычислительной точности. Даже если погрешность расчетов одностадийных процессов достаточно невелика и хорошо согласуется с экспериментальными

Рис. 1. Примеры глубокого травление Бг Технология МЕМС (микрогироскопы, акселерометры ). данными, то при многократном повторении циклов процесса погрешность многократно возрастает и приводит к накоплению больших вычислительных ошибок.

Большинство из представленных на момент начала данной работы (2003 г.) симуляторов профиля травления не учитывает многих факторов, влияющих на формирование профиля структур травления, что делает их очень ограниченными в применении. В частности, не было представлено моделей, способных предсказывать эволюцию профиля в циклическом травлении 81 в ВовсИ-процессе в плазме 8Р6/С4Р8. Поэтому дальнейшее развитие методов моделирования формирования микроструктур связано с более детальным представлением моделей физико-химических процессов травления/осаждения на поверхности 81 и совершенствованием алгоритмов представления и изменения профиля поверхности [4].

Похожие диссертационные работы по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Заключение диссертации по теме «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», Шумилов, Андрей Станиславович

Заключение

В работе приведены результаты численного 2-мерного моделирования процессов формирования канавок с различной геометрией профиля при травлении 81 в циклическом двухстадийном процессе травление/пассивация в плазме 8Р6/С4Р8. Получены результаты моделирования процесса формирования канавок с различной гладкостью стенок, выпуклостью стенок и различным углом наклона стенок. Исследовано поведение модели при изменении времени стадий полимеризации и травления, напряжения смещения и других параметров процесса. Для моделирования использовалась разработанная автором моделирующая программная система ЕОР8, в основу которой положен предложенный гибридный метод моделирования травления глубоких канавок в 81, основанный на методе МК для генерации потоков частиц плазмы и методе ячеек для представления и изменения профиля. Результаты моделирования подтверждаются теоретическими и литературными данными и хорошо совпадают с экспериментальными данными.

Разработанная и использованная модель в составе симулятора ЕОР8 достаточно точна для того, чтобы моделировать циклическое многостадийное травление 81 в плазме 8Бб и С.^. Тесты по имитационному моделированию ВовсИ-процесса подтверждают способность предложенного метода предсказывать некоторые из структурных эффектов формируемых канавок (эффект зубцов, эффекты затенения, ионно-стимулированные процессы, подтрав маски, образование микротренчинга, выпуклость и наклон стенок канавки, эффекты АЗ- и АНЗ-травления, контроль параметров ВоБсЬ-процесса).

Кроме предсказательной возможности, имитационное моделирование помогает лучше понять и изучить динамику процесса, а также оценить влияние различных параметров процесса на геометрию получаемого профиля. С помощью имитационного моделирования пользователь также может выполнять и обратную задачу — по известным результатам эксперимента подобрать и оценить параметры реального эксперимента.

Научная новизна результатов, полученных в диссертационной работе, заключается в следующем:

• Разработан новый гибридный метод 2-мерного моделирования циклического двухстадийного (травление/пассивация) процесса формирования глубоких канавок в 81, в котором используется метод ячеек для описания и динамики профиля поверхности, метод МК для генерации потоков плазмы и разработанные автором математические алгоритмы.

• Метод моделирования реализован в созданном интерактивного программном комплексе ЕБР8. Комплекс предоставляет широкие возможности для проведения численных экспериментов моделирования.

• В методе реализованы физико-химические модели травления 81 в плазме 8Р6 и ионно-стимулированного осаждения ФУП в плазме С4р8, также ее травления в плазме 8Рб. На основе экспериментальных данных проведена верификация и определены основные параметры моделирования.

• С помощью разработанного программного комплекса впервые было осуществлено имитационное моделирование формирования глубоких В А (А0>10) канавок субмикронных размеров в 81 в ВоБсЬ-процессе в плазме 8Р6/С4Р8- Сравнение результатов моделирования с экспериментальными данными подтверждает правильность модели. Показано, что в условиях аспектнозависимого травления глубоких канавок в 81 скорость процесса определяется доставкой атомов фтора на дно канавки.

• Выполненное моделирование воспроизводит широкий ряд эффектов искажения профиля канавок при травлении 81 в ВозсЬ-процессе в плазме 8Р6/С4р8- С помощью разработанного программного комплекса показано, что, изменяя управляющие параметры в течение процесса, можно варьировать форму субмикронных канавок. Определены условия формирования канавок с разным углом наклона боковых стенок, возникновения апертурного эффекта, достижения аспектнонезависимого травления.

Впервые при моделировании формирования глубоких канавок в 81 был получен эффект образования наноигл на дне широких канавок в условиях сильной пассивации. Вероятными причинами их образования могут быть: неоднородное по поверхности осаждение и травление ФУП и разница в скоростях травления ФУП и 81. В соответствии с экспериментальными данными наноструктуры образуются на дне широких канавок и отсутствуют в узких.

Список литературы диссертационного исследования кандидат физико-математических наук Шумилов, Андрей Станиславович, 2009 год

1. Bose D., Rauf S., Hash D.B., Govindan T.R., Meyyappan M. Monte Carlo sensitivity analysis of CF2 and CF radical densities in a c-C4F8 plasma // J. Vac. Sci. Technol. A. 2004. V.22. N6. P.2290-2298.

2. Gogolides E., Vauvert P., Kokkoris G., Turban G., Boudouvis A.G. Etching of SiC>2 and Si in fluorocarbon plasmas: A detailed surface model accounting for etching and deposition // J. Appl. Phys. 2000. V.88. N10. P.5570-5584.

3. Sowa M.J., Littau M.E., Pohray V., Cecchi J.L. Fluorocarbon polymer deposition kinetics in a low-pressure, high-density, inductively coupled plasma reactor // J. Vac. Sci. Technol. A. 2000. V.18. N5. P.2122-2134.

4. Law M.E. Process modeling for future technologies // IBM J. Res.&Dev. 2002. V.46. N2/3. P.339-346.

5. Поттер. Д. Вычислительные методы в физике. М.: Мир, 1975. 392 с.

6. Иванов М.Ф., Гальбрут В.А. Численное моделирование динамики газов и плазмы методами частиц: Учеб. пособие. М.: МФТИ, 2000. 168 с.7. 3-Dimentional process simulation. Lorenz J. Springer-Verlag/Wien. Germany, 1995, 196 pp.

7. Level Set Methods and Fast Marching Methods. Sethian J.A. Cambridge University Press. 1999, 400 pp.

8. Rangelow W. Critical tasks in high aspect ratio silicon dry etching for micro-electromechanical systems // J. Vac. Sci. Technol. A. 2003. V.21. N4. P.1550-1562.

9. Laermer F., Urban A. Challenges, developments and applications of silicon deep reactive ion etching // Microelectronic Engineering. 2003. V.67-68. P.349-355.

10. McAuley S.A., Ashraf H., Atabo L., Chambers A., Hall S., Hopkins J., Nicholls G. Silicon micromachining using a high-density plasma source // J. Phys. D: Appl. Phys. 2001. V.34. N18. P.2769-2774.

11. Zhu Y., Yan G., Fan J., Zhou. J., Liu X., Li Z., Wang Y. Fabrication of keyhole-free ultra-deep high-aspect-ratio isolation trench and its application // J. Micromech. Microeng. 2005. V.15. N3. P.636-642.

12. Aachboun S., Ranson P. Deep anisotropic etching of silicon // J. Vac. Sci. Technol. A. 1999. V.17. N4. P.2270-2273.

13. Плазменная технология в производстве СБИС. ред. Айнспрук Н., Браун Д. М.: Мир, 1987.-470 с.

14. Li J., Zhang Q.X., Liu A.Q. Advanced fiber optical switches using deep RIE (DRIE) fabrication // Sensors and Actuators. A. 2003. V.102. N3. P.286-295.

15. Ayon A.A., Zhang X., Khanna R. Anisotropic silicon trenches 300-500 ¡im deep employing time multiplexed deep etching (TMDE) // Sensors and Actuators. A. 2001. V.91. N3. P.387-391.

16. Blauw M.A., Craciun W., Sloof W.G., French P.J., Drift E. Advanced time-multiplexed plasma etching of high aspect ratio silicon structures // J. Vac. Sci. Technol. B. 2002. V.20. N6. P.3106-3110.

17. Амиров И.И., Морозов O.B., Изюмов M.O., Кальнов В.А., Орликовский А.А., Валиев К.А. Плазмохимическое травление глубоких канавок в кремнии с высоким аспектным отношением для создания элементов микромеханики // Микросист. техн. 2004. № 12. С.15-18.

18. Walker М,J. Comparison of Bosch and cryogenic processes for patterning high aspect ratio features in silicon // Proc. SPIE. 2001. V.4407. P.89-99.

19. Graves D.B., Kushner M.J. Influence of modeling and simulation on the maturation of plasma technology: Feature evolution and reactor design // J. Vac. Sci. Technol. A. 2003. V.21. N 5. P.S152-S156.

20. Hamaoka F., Yagisawa Т., Makabe T. Modeling of Si Etching Under Effects of Plasma Molding in Two-Frequency Capacitively Coupled Plasma in SF6/O2 for MEMS Fabrication // IEEE Transact. On Plasma Sci. 2007. V.35. N 5. P1350-1358.

21. Ventzek P.L.G., Rauf S., Stout P.J., Zhang D., Dauksher W., Hall E. Application and simulation of low temperature plasma processes in semiconductor manufacturing // Appl. Surf. Sci. 2002. V.192. N 1-4. P.201-215.

22. Гулд X., Тобочик я. Компьютерное моделирование в физике. Часть 1. М.: Мир, 1990, -352 с.

23. Plasma processes for semiconductor fabrication. Hitchon W.N.G. Cambridge University press, 1999, 221 pp.

24. Sankaran A., Kushner M.J. Etching of porous and solid Si02 in Ar/c^Fg, O2/C-C4F8 and Ar/ 02/c-C4F8 plasmas // J. of Appl. Phys. 2005. V.97. N 2. P.023307.1-023307.10.

25. Sankaran A., Kushner M.J. Integrated feature scale modeling of plasma processing of porous in solid Si02. I. Fluorocarbon etching // J. Vac. Sci. Technol. A. 2004. V.22. N4. P.1242-1259.

26. Sankaran A., Kushner M.J. Integrated feature scale modeling of plasma processing of porous and solid Si02 . II. Residual fluorocarbon polymer stripping and barrier layer deposition // J. Vac. Sci. Technol. A. 2004. V.22. N 4. P.1260-1274.

27. Zhang D., Kushner M.J. Surface kinetics and plasma equipment model for Si etching by fluorocarbon plasmas // J. of Appl. Phys. 2000. V.87. N 3. P.1060-1069.

28. Zhang D., Kushner M.J. Mechanisms for CF2 radical generation and loss on surfaces in fluorocarbon plasmas // J. Vac. Sci. Technol. A. 2000. V.18. N 6. P.2661-2668.

29. Hoekstra R.J., Kushner M.J. Comparison of two-dimensional and three-dimensional models for profile simulation of poly-Si etching of finite length trenches // J. Vac. Sci. Technol. A. 1998. V.16. N 6. P.3274-3280.

30. Hoekstra R.J., Kushner M.J., Sukharev V., Schoenborn P. Microtrenching resulting from specular reflection during chlorine etching of silicon // J. Vac. Sci. Technol. B. 1998. V.16. N 4. P.2102-2104.

31. Agarwal A., Kushner M.J. Plasma atomic layer etching using conventional plasma equipment //J. Vac. Sci. Technol. A. 2009. V.27.N 1. P.37-50.

32. Chang. J.P., Coburn J.W. Plasma-surface interactions // J. Vac. Sci. Technol. A. 2003. V.21. N 5. P.S145-S151.

33. Ohta H., Hamaguchi S. Molecular dynamics simulation of silicon and silicon dioxide etching by energetic halogen beams // J. Vac. Sci. Technol. A. 2001. V.19. N 5. P.2373-2381.

34. Humbird D., Graves D.B., Hua X., Oehrlein G.S. Molecular dynamics simulations of Ar+-induced transport of fluorine through fluorocarbon films // Appl. Phys. Lett. 2004. V.84. N 7. P.1073-1075.

35. Humbird D., Graves D.B. Molecular dynamics simulations of Si-F surface chemistry with improved interatomic potentials // Plasma Sources Sci. Technol. 2004. V.13. P.548-552.

36. Трушин О.С., Викулов П.А., Карим А., Кара А., Рахман Т. Исследование диффузионных процессов на поверхности металлов методом самообучаемого кинетического Монте-Карло // Мат. моделирование. 2007. Т.19. № 3. С.116-126.

37. Helmer В.А., Graves D.B. Molecular dynamics simulations of C\j impacts onto a chlorinated silicon surface: Energies and angles of the reflected CI2 and CI fragments // J. Vac. Sci. Technol. A. 1999. V.17. N 5. P.2759-2770.

38. Sasata K., Yokosuka Т., Kurokawa H., Takami S., Kubo M., Imamura A., Shinmura Т., Kanoh M., Elvam P., Miyamoto A. Quantum Chemical Molecular Dynamics Simulation of the Plasma Etching Processes // Jpn. J. Appl. Phys. 2003. V.42. N 4B. P. 1859-1864.

39. Bear M.J., Guillory J.U. Plasma etch/deposition modeling: A new dynamically coupled multiscale code and comparison with experiment // J. Vac. Sci. Technol. A. 2000. V.18. N 5. P.2045-2052.

40. Лукичёв В.Ф., Юнкин B.A. Масштабирование скорости травления и подобие профилей при плазмохимическом травлении // Микроэлектроника. 1998. Т.27. № 3. С.229-239.

41. Rauf S., Dauksher W.J., Clemens S.B., Smith K.H. Model for a multiple-step deep Si etch process // J. Vac. Sci. Technol. A. 2002. V.20. N 4. P. 1177-1190.

42. Mahorowala A.P., Sawin H.H. Etching of polysilicon in inductively coupled CI2 and HBr discharges. IV. Calculation of feature charging in profile evolution // J. Vac. Sci. Technol. B. 2002. V.20. N3. P.1084-1095.

43. Hwang G.S., Giapis K.P. On the origin of the notching effect during etching in uniform high density plasmas // J. Vac. Sci. Technol. B. 1997. V.15. N 1. P.70-87.

44. Kinoshita S., Takagi S., Yabuhara H., Nishimura H., Kawaguchi H., Shigyo N. Calibration method for high-density-plasma chemical vapor deposition simulation // Jpn. J. Appl. Phys. 2002. V.41. N 4A. P.1974-1980.

45. Zakka E., Constantoudis V., Gogolides E. Roughness formation during plasma etching of composite materials: A kinetic Monte Carlo approach // IEEE Transact, on Plasma Sci. 2007. V.35.N5. P.1359-1369.

46. Mahorowala A.P., Sawin H.H. Etching of polysilicon in inductively coupled CI2 and HBr discharges. III. Photoresist mask faceting, sidewall deposition, and microtrenching // J. Vac. Sci. Technol. B. 2002. V.20. N 3. P.1077-1083.

47. Rey J.C., Cheng L.-Y., McVittie J.P., and Saraswat K.C. Monte Carlo low pressure deposition profile simulations // J. Vac. Sci. Technol. A. 1991. V.9. N 3. P.1083-1087.

48. Volland B.E., Rangelow I.W. The influence of reactant transport on the profiles of gas chopping etching processes: a simulation approach // Microelectr. Eng. 2003. V.67-68. P.338-348.

49. Jin W., Sawin H.H. Feature profile evolution in high-density plasma etching of silicon with Cl2 // J. Vac. Sci. Technol. A. 2003. V.21. N 4. P.911-921.

50. Im Y.H., Halin Y.B., Pearton S.J. Level set approach to simulation of feature profile evolution in a high-density plasma-etching system // J. Vac. Sci. Technol. B. 2001. V.19. N 3. P.701-710.

51. IslamRaja M.M., Cappelli M.A., McVittie J.P., Saraswat K.C. A 3-dimentional model for low-pressure chemical-vapour-deposition step coverage in trenches and circular vias // J. Appl. Phys. 1991. V.70. N 11. P.7137-7140.

52. Levinson J.A., Shaqfeh E.S.G., Balooch M., Hamza A.V. Ion-assisted etching and profile development of silicon in molecular chlorine // J. Vac. Sci. Technol. A. 1997. V.15. N4. P.1902-1912.

53. Adalsteinsson D., Sethian J.A. A level set approach to a unified model for etching, deposition, and lithography I: Algorithms and two-dimensional simulations // J. of Appl. Phys. 1995. V.120.N 1. P.128-144.

54. Adalsteinsson D., Sethian J.A. A level set approach to a unified model for etching, deposition, and lithography II: 3-dimensional simulations // J. Comp. Phys. 1995. V.122. N 2. P.348-366.

55. Adalsteinsson D., Sethian J.A. An overview of level set methods for etching, deposition, and lithography development // IEEE Transact, on Semicond. Dev. 1996. V.10. N 1. P. 167-184.

56. Sethian J.A. A fast marching level set method for monotonically advancing fronts // Proc. Natl. Acad. Sci. (USA), 1996. V.93. N 4. P.1591-1595.

57. Kokkoris G., Boudouvis A.G., Gogolides E. Integrated framework for the flux calculation of neutral species inside trenches and holes during plasma etching // J. Vac. Sci. Technol. A. 2006. V.24. N 6. P.2008-2020.

58. Kim H.-B., Hobler G., Steiger A., Lugstein A., Bertagnolli E. Level set approach for the simulation focused ion beam processing on the micro/nano scale // Nanotech. 2007. V.18. P.265307.1-265307.6.

59. Abdollahi-Alibeik S., McVittie J.P., Saraswat K.C. Analytical modeling of silicon etch process in high density plasma// J. Vac. Sci. Technol. A. 1999. V.17. N 5. P.2485-2481.

60. Takagi S., Iyanagi K., Onoue S., Shinmura T., Fujino M. Topography simulation of reactive ion etching combined with plasma simulation, sheath model, and surface reaction model // Jpn. J. Appl. Phys. 2002. V.41. N 6A. P.3947-3954.

61. Xing Y., Gosalvez M.A., Sato K. Step flow-based cellular automation for the simulation of anisotropic etching of complex MEMS structures // New Journal of Phys. 2007. V.9. N 436. P.1-18.

62. Belen J.R., Gomez S., Kiehbauch M., Cooperberg D., Aydil E.S. Feature scale model of Si etching in SF6 plasma and comparison with experiments // J. Vac. Sci. Technol. A. 2005. V.23. N 1. P.99-113.

63. Zhou R., Zhang H., Hao Y., Wang Y. Simulation of the Bosch process with a string-cell hybrid method // J. Micromech. Microeng. 2004. V.14. N 7. P.851-858.

64. Zhou R., Zhang H., Hao Y., Zhang D., Wang Y. Simulation of profile evolution in etching-polymerization alternation in DRIE of silicon with SF6/C4F8 // MEMS-03 Kyoto. 2003. V.3. P.161-164.

65. Tan Y., Zhou R., Zhang H., Lu G., Li Z. Modeling and simulation of the lag effect in a deep reactive ion etching process // J. Micromech. Microeng. 2006. V.16. N 12. P.2570-2575.

66. Reynolds J.L., Neureuther A.R., Oldham W.G. Simulation of dry etched line edge profiles // J. Vac. Sci. Technol. 1979. V.16. N 6. P.1772-1775.

67. Jewett R.E., Hagouel P.I., Neureuther A.R., van Duzer T. Line-profile resist development simulation techniques // Polimer Eng. Sci. 1977. V.17. P.381-384.

68. Tazawa S., Matsuo S., Saito K. A general characterization and simulation method for deposition and etching technology // IEEE Trans. Semicond. Manufact. 1992. V.5. N 1. P.27-33.

69. Handbook of Thin-Film Deposition Processes and Techniques Principles, Methods, Equipment and Applications (2nd Edition). Edited by Seshan.K. William Andrew Publishing/Noyes, 2002, 676 pp.

70. Singh V.K., Shaqfeh E.S.G., McVittie J.P. Simulation of profile evolution in silicon reactive ion etching with re-emission and surface diffusion // J. Vac. Sci. Technol. B. 1992. V.10. N 3. P.1091-1104.

71. Radjenovic B., Lee J.K., Radmilovic-Radjenovic M. Sparse field level set method for non-convex Hamiltonians in 3D plasma etching profile simulations // Comp. Phys. Comm. 2006. V.174.N2. P.127-132.

72. Hwang G.S., Anderson C.M., Gordon M.J., Moore T.A., Minton T.K., Giapis K.P. Gas-surface dynamics and profile evolution during etching of silicon // Phys. Rev. Lett. 1996. V.77. N 14. P.3049-3052.

73. Marcos G., Rhallabi A., Ranson P. Topographic and kinetic effects of the SF6/O2 rate during a cryogenic etching process of silicon // J. Vac. Sci. Technol. B. 2004. V.22. N 4. P.1912-1922.

74. Zhou Z.-F., Huang Q.-A., Li W.-H., Lu W. A novel 2D dynamic cellular automata model for photoresist etching process simulation // J. Micromech. Microeng. 2005. V.15. N 3. P.652-662.

75. Marchetti J., He Y., Than O., Akkaraju S. Efficient process development for bulk silicon etching using cellular automata simulation techniques // Proc. SPIE. 1998. V.3514. P.287-295.

76. Allen R.W. The modeling of sputtering and etching process on a micro computer // Proc. of SISDEP. 1984. P.525-537. http://www.sispad.org.

77. Blech I.A. Evaporated film profiles over steps in substrates // Thin Solid Films. 1970. V.6. P.113-118.

78. Blech I.A., Vander Plas H.A. Step coverage simulation and measurement in a dc planar magnetron sputtering system // J. Appl. Phys. 1983. V.54. N 6. P.3489-3496.

79. Brett M.J., Westra K.L., Smy T. Simulation of step coverage profiles and film microstructure // IEDM Tech. Digest. 1988. P.336-339.

80. Oldham W.G., Neureuther A.R., Sung C., Reynolds J.L., Nandgaonkar S.N. A general simulator for VLSI lithography and etching processes: Part II Applications to deposition and etching // IEEE Trans. El. Dev. 1980. V.27. N 8. P.1455-1459.

81. Hamaguchi S., Mayo A.A., Rossnagel S.M., Kotecki D.E., Milkove K.R., Wang C., Farrell C.E. Numerical Simulation of Etching and Deposition Processes // Jpn. J. Appl. Phys. 1997. V.36. N 7B. P.4762-4768.

82. Abdollahi-Alibeik S., Zheng J., McVittie J.P., Saraswat K.C., Gabriel C.T., Abraham S.C. Modeling and simulation of feature-size-dependent etching of metal stacks // J. Vac. Sci. Techno 1. B. 2001. V.19. N 1. P.179-185.

83. Распыление твёрдых тел ионной бомбардировкой. Выпуск II. ред. Бериш Р. М.: Мир, 1986,-484 с.

84. Virmani М., Levedakis D.A., Raupp G.B., Cale T.S. Feature Scale Simulation Studies of TEOS-sourced Remote Microwave PECVD of Silicon Dioxide: Role of Oxygen Atom Recombination // J. Vac. Sci. Technol. A. 1996. V.14. N 3. P.977-983.

85. Cheng L.Y., McVittie J. P., Saraswat К. C. A New Test Structure to Identify Step Coverage Mechanisms in CVD Si02 // Appl. Phys. Letters. 1991. V.58. N 19. P.2147-2149.

86. Zheng J., McVittie J.P. Modeling of side wall passivation and ion saturation effects on etching profiles // NUPAD V Int. Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits (5-6 June 1994) P.37-40.

87. McVittie J.P, Rey J.C., Cheng L.Y., IslamRaja M.M., Saraswat K.C. LPCVD Profile Simulation Using a Re-emission Model // IEDM. 1990. P.917-920.

88. Harafuji K., Misaka A. Dry etching topography simulator with a new surface reaction model; MODERN // IEEE Trans. Electron Devices 1995. V.42. N 11. P. 1903-1911.

89. Nishimura H., Takagi S., Fujino M., Nishi N. Gap-Fill Process of Shallow Trench Isolation for 0.13 цт Technologies // Jpn. J. Appl. Phys. 2002. V.41. N 5A. P.2886-2893.

90. Volland B.E., Ivanov Tzv., Rangelow I.W. Profile simulation of gas chopping based etching processes//J. Vac. Sci. Technol. B. 2002. V.20. N 6. P.3111-3117.

91. Blauw M.A., Zijlstra Т., Drift E. Radical transport in deep silicon structures during dry etching // Proceeding of the SeSens workshop. 2000. P.617-622.

92. Abraham-Shrauner B. Plasma etches profiles of passivated open-area trenches // J. Vac. Sci. Technol. B. 2001. V.19. N 3. P.711-721.

93. Arnold J.C., Sawin H.H. Charging of pattern features during plasma etching // J. Appl. Phys.1991. V.70. N 10. P.5314-5317.

94. Shaqfeh E.S.G., Jurgensen C.W. Simulation of reactive ion etching pattern transfer // J. Appl. Phys. 1989. V.66. N 10. P.4664-4675.

95. Winters H., Coburn J.W. Surface science aspects of etching reactions // Surf. Sci. Reports.1992. V.14. N 4-6. P.162-265.

96. Gotoh Y., Kure Т., Tachi S. Estimation of ion incident angle from Si etching profiles // Jpn. J. Appl. Phys. 1993. V.32. N 6B. P.3035-3039.

97. Coburn J.W., Winters. H. F. Conductance considerations in the reactive ion etching of high aspect ratio features // Appl. Phys. Lett. 1989. V.55. N 26. P.2730-2732.

98. Амиров И.И., Алов H.B. Осаждение полимерной пленки в плазме высокочастотного индукционного разряда перфторциклобутана в смеси с гексафторидом серы // Химия высоких энергий. 2006. Т.40. N 4. С.311 -316.

99. Kwon О., Sawin Н.Н. Surface kinetics modeling of silicon and silicon oxide plasma etching. II. Plasma etching surface kinetics modeling using translating mixed-layer representation // J. Vac. Sci. Technol. A. 2006. V.24. N 5. P.1914-1919.

100. Boufinichel ML, Aachboun S. Profile control of high aspect ratio trenches of silicon. II. Study of the mechanisms responsible for local bowing formation and elimination of this effect // J. Vac. Sci. Technol. B. 2003. V.21. N 1. P.267-273.

101. Gotoh Y., Kure T. Analysis of polymer formation during Si02 microwave plasma etching // Jpn. J. Appl. Phys. 1995. V.34. N 4B. P.2132-2136.

102. Hedlund C., Jonsson L.B., Katardjiev I.V., Berg S., Blom H.-O. Angular dependence of the polysilicon etch rate during dry etching in SF6 and СЬ // J- Vac. Sci. Technol. A. 1997. V.15. N 3. P.686-691.

103. Meguro Т., Aoyagi Y. Ion beam induced dry etching and possibility of highly charged ion beam // RIKEN Review. 2000. N.31. P.48-51.

104. Kimura Y., Coburn J. W., Graves D.B. Vacuum beam studies of fluorocarbon radicals and argon ions on Si and Si02 surfaces // J. Vac. Sci. Technol. A. 2004. V.22. N 6. P.2508-2516.

105. Blauw M.A., Zijlstra Т., Drift E. Balancing the etching and passivation in time-multiplexed deep dry etching of silicon // J. Vac. Sci. Technol. B. 2001. V.19. N 6. P.2930-2934.

106. Hanley L., Lim H., Schultz D.G., Wainhaus S.B., Claire P. de S., Hase W.L. Surface energy transfer by low energy polyatomic ion collisions // Nuclear Instruments and Methods in Phys. Res. 1997. V.B125. N 1/4. P.218-222.

107. Martin I.T., Fisher E.R. Ion effects on CF2 surface interactions during C3F8 and C4F8 plasma processing of Si //J. Vac. Sci. Technol. A. 2004. V.22. N 5. P.2168-2174.

108. Ohara J., Капо K., Takeuchi Y., Otsuka Y. Improvement of Si/Si02 mask etching selectivity in the new D-RIE process // MEMS-01. 2001. V. 1. P.76-79.

109. Chen K.-S., Ayon A.A., Zhang X., Spearing S.M. Effect of process parameters on the surface morphology and mechanical performance of silicon structures after deep reactive ion etching (DRIE) // J. of MEMS. 2002. V.l 1. N 3. P.264-274.

110. Craigie C.J.D., Sheehan Т., Johnson V.N., Burkett S.L., Moll A.J., Knowlton W.B. Polymer thickness effects on Bosch etch profiles // J. Vac. Sci. Technol. B. 2002. Y.20. N 6. P.2229-2232.

111. Kiihamaki J., Franssila S. Pattern shape effects and artefacts in deep silicon etching // J. Vac. Sci. Technol. A. 1999. V.17. N 4. P.2280-2285.

112. Chung C.-K., Geometrical pattern effect on silicon deep etching by a inductively coupled plasma system//J. Micromech. Microeng. 2004. V.14. P.656-662.

113. Морозов O.B., Амиров И.И. Аспектнонезависимое анизотропное травление кремния в плазмохимическом, циклическом процессе // Микроэлектроника. 2007. Т.36. № 5. С.380-389.

114. Lai S.L., Johnson D., Westerman R. Aspect ratio dependent etching lag reduction in deep silicon etch processes // J. Vac. Sci. Technol. A. 2006. V.24. N 4. P. 1283-1288.

115. Bailey III A.D., Gottscho R.A. Aspect ratio independent etching: Fact or fantasy? // Jpn. J. Appl. Phys. 1995. V.34. N 4B. P.2083-2088.

116. Puech M., Thevenoud J.M., Launay N., Godinat P., Andrieu В., Gruffat J.M. Achievements and perpectives of the DRIE for Microsystems // Proc. of SMART 2008 conference, at Barcelona, Spain, April 2008. Alcatel Vacuum Technology, France.

117. Rao M.P., Aimi M.F., MacDonald N.C. Single-mask, three-dimensional microfabrication of high-aspect-ratio structures in bulk silicon' using reactive ion etching lag and sacrificial oxidation // Appl. Phys. Lett. 2004. V.85. N 25. P.6281-6283.

118. Амиров И.И., Алов H.B. Формирование микроструктур на поверхности кремния во фторсодержащей плазме в циклическом процессе травление/пассивация // Химия высоких энергий. 2008. Т.42. N 2. С.164-168.

119. Konechika М., Sugimoto N., Mitsushima Y. Control of shape of silicon needles fabricated by highly selective anisotropic dry etching // J. Vac .Sci. Technol. B. 2002. V.20. N 4. P. 12981302.

120. Shieh J., Lin C.P., Yang M.C. Plasma nanofabrications and antireflection applications // J. Phys. D: Appl. Phys. 2007. V.40. N 8. P.2242-2250.

121. Stubenrauch M., Fischer M., Kremin C., Stoebenau S., Albrecht A., Nagel O. Black silicon— new functionalities in microsystems // J. Micromech. Microeng. 2006. V.16. N 6. P.S82-S87.

122. Lin G.-R., Lin C.-J., Kou H.-C. Improving carrier transport and light emission in a silicon-nanocrystal based MOS light-emitting diode on silicon nanopillar array // Appl. Phys. Lett. 2007. V.91.N9. 093122. P.l-3.

123. Sainiemi L., Keskinen H., Aromaa M., Luosujarvi L., Grigoras K., Kotiaho Т., Makela J.M., Fransila S. Rapid fabrication of high aspect ratio silicon nanopillars for chemical analysis // Nanotechnology. 2007. V.18. N 50. P.2346-2352.

124. Gharghi M., Sivoththaman S. Formation of nanoscale columnar structures in silicon by a maskless reactive ion etching process // J. Vac. Sci. Technol. A. 2006. V.24. N 3. P.723-727.

125. Dussart R., Mellhaoui X., Tillocher Т., Lefaucheux P., Volatier M., Socquet-Clerc C., Brault P., Ranson P. Silicon columnar microstructures induced by an SFg/02 plasma // J. Phys. D: Appl. Phys. 2005. V.38. P.3395-3402.

126. Сегалович В.И., Васильев О.А., Шумилов A.C. Гравитационная и магнитная томография сплошных геологических сред // Разведка и охрана недр. 2003. № 4. С.27-32.

127. Работы автора по теме диссертации

128. А2. I.Amirov, M.Izyumov, O.Morozov, A.Shumilov. Formation of micro- and nanostructures in Si and Si02 using plasma etching and deposition process. Abstracts. International Conference "Micro-and nanoelectronics -2003" Moscow, Zvenigorod,Russia. P.02-50.

129. A5. Шумилов A.C., Амиров И.И. Моделирование формирования глубоких канавок в Si в плазмохимическом, циклическом травление/пассивация процессе. // Микроэлектроника. 2007. Т.36. № 4. С.277-287.

130. А6. Шумилов А.С., Амиров И.И., Лукичев В.Ф. Моделирование эффектов формирования глубоких с разным профилем канавок в кремнии в плазмохимическом, циклическом процессе. // Труды V Международного Симпозиума по плазмохимии. Иваново. 2008. С.285-289.

131. Литература по компьютерной графике

132. КГ1. Роджерс Д. Алгоритмические основы машинной графики. М.: Мир, 1989. - 512с КГ2. Выгодский М.Я. Справочник по высшей математике. - 13-е изд., стер. - М.:

133. Физматлит, 1995, 872 с. КГЗ. Котов И.И. Алгоритмы машинной графики. - М.: Машиностроение, 1977.- 231 с.

134. КГ4. Павлидис Т. Алгоритмы машинной графики и обработки изображений. М.: Радиосвязь, 1986.- 398 с.

135. КГ5. Шикин Е.В., Боресков A.B. Компьютерная графика. Полигональные модели. М.: ДИАЛОГ-МИФИ, 2000. - 464 с.

136. КГ6. Вельтмандер П. В. Учебное пособие «Основные алгоритмы компьютерной графики» МАШИННАЯ ГРАФИКА (Учебное пособие в 3-х книгах) Книга 2. Новосибирский государственный университет, 1997.

137. КГ7. Порев В.Н. Компьютерная графика. СПб.: БХВ-Петербург, 2002, - 432 с.

138. КГ8. Тихомиров. Ю. Программирование трёхмерной графики. СПб.: БХВ-Петербург, 2001,-256 с.

139. КГ9. Шикин Е.В., Боресков A.B. Компьютерная графика. Динамика, реалистические изображения. М.: ДИАЛОГ-МИФИ, 1995.-288с.

140. КГ10. Базаева С.Е., Бетелин В.Б., Грюнталь А.И., Романюк С.Г. Технология разработки диалоговых графических систем. М.: Наука, 1992, - 207 с.

141. КГ11. Ласло М., Вычислительная геометрия и компьютерная графика на С++. М.: БИНОМ, 1997.-301 с.1. Электронные ресурсы

142. И1. Институт прикладной математики (ИПМ им. М.В.Келдыша РАН) http://www.applmat.ru/

143. И2. Математические алгоритмы и численные методы http://algolist.manual.ru/

144. ИЗ. Научно-исследовательский вычислительный центр Московского государственного университета им. М.В. Ломоносова, http://www.srcc.msu.su/

145. И4. Марийский государственный технический университет http://www.marstu.mari.ru: 8101

146. И5. Moving Interfaces And Boundaries. Level Set Methods And Fast Marching Methods J.A. Sethian http://math.berkeley.edu/~sethian

147. И6. Process Evolution, Ltd. http://www.process-evolution.com

148. И7. Stanford Profile Emulator for Etching and Deposition in 1С Engineering (SPEEDIE) http://www-tcad. stanford.edu/tcad/iedm/hetero/sp eedie. html

149. И8. AnisE — Anisotropic etch simulatorhttp://www.intellisensesoftware.com/modules/Anise.html

150. И9. RECIPE RIE/ICP etch simulatorhttp://www.intellisensesoftware.com/modules/RECIPE.html

151. И10. Introducing IntelliEtch Well Designedhttp://intellisense.wordpress.com/2008/09/16/introducing-intellietch/

152. И13. Terrain ver 1.3 Reference Manual (Avant!). http://www.avanticorporation.com/

153. И14. Profile Simulations of Plasma Etching Processes. http://www.tu-ilmenau.de/fakei/Process-simulation.7419.0.html?&L-l

154. И15. Live help with OpenGL programming http://nehe.gamedev.net/ Live help with OpenGL programming http://nehe.gamedev.net/

155. И16. Компания UGLY SHOW NETWORKS по созданию игрового и прикладного математического программного обеспечения http://uglyshow.narod.ru/

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.