Оптимизация в задачах моделирования, связанных с формированием фотолитографического изображения тема диссертации и автореферата по ВАК РФ 00.00.00, кандидат наук Шамин Евгений Сергеевич

  • Шамин Евгений Сергеевич
  • кандидат науккандидат наук
  • 2024, ФГАОУ ВО «Московский физико-технический институт (национальный исследовательский университет)»
  • Специальность ВАК РФ00.00.00
  • Количество страниц 200
Шамин Евгений Сергеевич. Оптимизация в задачах моделирования, связанных с формированием фотолитографического изображения: дис. кандидат наук: 00.00.00 - Другие cпециальности. ФГАОУ ВО «Московский физико-технический институт (национальный исследовательский университет)». 2024. 200 с.

Оглавление диссертации кандидат наук Шамин Евгений Сергеевич

1. Введение

1.1 Описание проблематики, постановка задачи

1.1.1 Актуальность проблемы, цель, задачи, степень разработанности

1.1.2 Научная новизна работы

1.1.3 Практическая значимость работы

1.1.4 Основные положения, выносимые на защиту

1.1.5 Методы исследования

1.1.6 Достоверность и апробация результатов диссертационной работы, личный вклад автора

1.1.7 Структура и объём работы

1.2 Обзор алгоритмов оптимизации. Классификация, принципы работы. Бенчмаркинг

1.2.1 Постановка задачи оптимизации

1.2.2 Классификация алгоритмов оптимизации

1.2.3 Целевые функции для оптимизации

1.2.4 Бенчмаркинг

1.3 Составляющие технологии микроэлектроники, лежащие в основе данной работы

1.3.1 Фотолитография

1.3.2 БЯЛР

1.3.3 Окно процесса

1.3.4 Моделирование фотолитографии

1.3.5 Шероховатость боковых стенок

1.4 Заключение по первой главе

2. Разработка модульного ПО моделирования и оптимизации

2.1 Реализация алгоритмов для создания модельного пайплайна

2.2 Реализация алгоритмов для оптимизации и бенчмаркинга

2.3 Пример практического применения разработанного ПО

2.4 Заключение по второй главе

3. Реализация модулей технологии микроэлектроники для разработанного ПО оптимизации

3.1 Модуль расчета фотолитографии

3.2 Модуль расстановки БКЛБ

3.3 Модуль оценки окна процесса

3.4 Модуль симуляции шероховатости

3.5 Заключение по третьей главе

4. Практическое применение разработанного ПО моделирования

4.1 Формализация задачи и составление пайплайна для её решения

4.2 Влияние БКЛР на шероховатость боковых стенок

4.3 Бенчмаркинг оптимизационных алгоритмов для определения правил расстановки БКЛБ с учётом шероховатости

4.4 Заключение по четвертой главе

5. Общее заключение и выводы по результатам диссертации

6. Планы развития исследований представленных в диссертации

7. Литература

1. Введение

1.1 Описание проблематики, постановка задачи 1.1.1 Актуальность проблемы, цель, задачи, степень разработанности

На сегодняшний день сложно привести пример области науки, хотя бы в одном аспекте которой не нашел применение один из множества существующих алгоритмов оптимизации. Такие алгоритмы лежат в основе решения огромного количества комплексных задач инженерии, медицины, машинного обучения, экономики [1]. Генеративный дизайн устройств интегральной фотоники и антенн [2], создание новых лекарств на основе обработки больших данных [3], интеллектуальные системы обработки естественного языка [4], предсказание колебаний рынка ценных бумаг [5] - это лишь малая часть того, что стало возможным благодаря применению оптимизационных алгоритмов.

С увеличением количества типов реальных задач, требующих решения, возрастало количество оптимизационных методов и их практических реализаций. Естественным образом возникла задача сравнительного исследования эффективности методов оптимизации для выделения наилучшего [6].

Как упоминается в [7] и подтверждается в [8], вопрос справедливого оценивания (бенчмаркинга) оптимизационных алгоритмов - задача, не решённая до сих пор. Эта область науки всё ещё развивается по, в связи с этим до сих пор не установлен набор обязательных подходов к оцениванию. Все существующие подходы к решению этого вопроса несут рекомендационный характер, тем не менее, достаточно логичный, чтобы принять их за основу.

Следует пояснить, почему бенчмаркинг имеет смысл. В работе [9], опубликованной в конце 1980х годов, авторы заявили, что существует оптимизационный алгоритм, способный превзойти все другие алгоритмы в среднем по всем задачам. Неточность этого утверждения была показана в теоремах «No Free Lunch» [10], смысл которых можно описать следующими утверждениями [11, 12]:

• Эффективность оптимизационных алгоритмов и их параметров неразрывно связана с задачей, для которой они применяются;

• При улучшении алгоритма оптимизации для решения конкретного класса задач высока вероятность понижения его эффективности для другого класса задач.

Эти концепции дают ясно понять, что хотя наилучшего оптимизационного алгоритма для всех видов задач не существует, однако может иметь место его выбор для случая конкретной проблемы. Таким образом, исследования, направленные на улучшение качества оптимизации в случае каждого конкретного типа задач, имеют важное прикладное значение и являются крайне актуальными.

Соответственно названию диссертации, основной круг задач, для которых будут применяться алгоритмы оптимизации, будет связан с моделированием процесса формирования фотолитографического изображения (одной из основных задач технологии микроэлектроники), а также особенностями его влияния на шероховатость боковых стенок топологических элементов.

Развитие технологии микроэлектроники заключается в уменьшении топологических (проектных) норм [13]. С их уменьшением возрастают требования к точности техпроцессов. Для удержания этих требований в рамках выполнимого инженерам микроэлектронной промышленности приходится создавать всё более сложные, но одновременно и эффективные методы решения задач, не представлявших для более высоких топологических норм особых проблем. Часто для обеспечения низких топологических норм приходится прибегать к методологии генеративного дизайна. Либо появляется необходимость подбора значительного количества параметров. В связи с этим растёт актуальность не только применения оптимизационных алгоритмов к задачам моделирования процессов технологии микроэлектроники, но также повышается актуальность выбора наилучших алгоритмов оптимизации для них. Так, например, для технологий с низкими проектными нормами даже малая неточность в расстановке непечатаемых вспомогательных структур (БЯЛЕ) в критических слоях может привести к значительному снижению выхода годных [14].

В некоторых случаях, однако, имеют место ситуации, в которых сложности, обычно связываемые исключительно с низкими проектными нормами, начинают играть значительную роль для случая высоких проектных норм, например, с появлением новой ветви исследований, связанной с планарной технологией. Речь в данном случае идёт о проблеме шероховатости боковых стенок, а также влиянии шероховатости на функционирование элементов интегральной фотоники.

Невозможно не отметить тенденцию последних лет, проявляющуюся в экспоненциальном росте числа исследований, связанных с фотонными интегральными схемами [15]. Действительно, возможность управления световым сигналом, реализованная в рамках развитой планарной технологии, имеет значительный научный и экономический потенциал. Так, например, устройства фотоники, ранее предполагавшие сборку из множества отдельных компонентов, на сегодняшний день могут быть произведены в интегральном исполнении (на одном чипе), что значительно снижает их стоимость, энергопотребление, а также габариты [16].

Проблему шероховатости боковых стенок обычно принято связывать с низкими проектными нормами. Так, например, теоретически и экспериментально установлено, что начиная с топологических норм «90 нм», эффекты шероховатости боковых стенок структур приводят к деградации характеристик приборов (в частности КМОБ транзисторов) и ухудшению их воспроизводимости по кристаллу

[17]. Хотя производство элементов интегральной фотоники обычно не требует низких проектных норм, тем не менее, проблема шероховатости боковых стенок считается одним из ключевых факторов, влияющих на их энергоэффективность

[18]. Распространяющийся по устройству оптический сигнал рассеивается на неровностях границ его шероховатых элементов, из-за чего падает выходная мощность. Нагрев устройств и ухудшение передаточной характеристики приводят к росту коэффициента шума и создают нежелательные фазовые задержки. Это затрудняет использование устройств в схемах радиолокации и радиопередачи.

В целом, вне зависимости от области рассмотрения - будь то интегральная фотоника с (относительно) высокими проектными нормами, или микроэлектроника

с низкими проектными нормами - вопрос улучшения параметров шероховатости боковых стенок элементов, сформированных по планарной технологии, стоит достаточно остро. Для оптимизации шероховатости прежде всего необходимо иметь возможность её моделировать.

Неочевидным, но подтвержденным фактом является утверждение, что, шероховатость боковых стенок имеет не абсолютно стохастическую природу (здесь стоит отметить, что речь в данном случае идёт о шероховатости структур, получаемых с помощью фотолитографии). Так, в работе [17] было экспериментально показано, что шероховатость боковых стенок структур размером порядка 90 нм можно контролировать посредством подбора различных технологических параметров, таких как величина дефокусировки фотолитографии и материал фоторезиста. В оригинальной работе [19] было выдвинуто предположение, что шероховатость боковых стенок можно контролировать не только за счёт параметров технологии производства, но и с помощью расстановки непечатаемых вспомогательных структур (БЯЛЕ).

Моделирование шероховатости, однако, сопряжено с проблемой труднодоступности соответствующего ПО. Существующие САПР технологического моделирования, такие, например, как БупорБуБ ТСЛО, не имеют нужного функционала «из коробки» и требуют либо осуществления собственных разработок, либо покупки отдельной лицензии. Следует также отметить, что хотя в некоторых из них есть возможность проведения оптимизации, однако функционал по выбору наилучших алгоритмов отсутствует повсеместно.

Если обобщить вышеописанное, можно сформулировать итоговую цель работы, состоящую из двух частей. Первая - реализовать модульную систему моделирования с функционалом для проведения оптимизации параметров моделей и бенчмаркинга оптимизационных алгоритмов для них. В условиях отсутствия отечественных решений такого типа актуальность первой цели значительно возрастает. Вторая - применить разработанную систему моделирования на практике, а именно для поиска решения задач, связанных с формированием фотолитографического изображения и, в частности, с оптимизацией шероховатости

боковых стенок топологических элементов, сформированных посредством фотолитографии. Для этого необходимо реализовать соответствующие модули для разработанной системы моделирования и оптимизации, такие как:

• Модуль расстановки непечатаемых вспомогательных структур (как основной инструмент влияния на шероховатость);

• Модуль расчёта фотолитографии (с использованием API САПР Mentor Graphics Calibre);

• Модуль оценки окна литографического процесса (для возможности оценки качества расстановки непечатаемых вспомогательных структур [20]);

• Модуль оценки шероховатости боковых стенок топологических элементов, сформированных по планарной технологии на основе фотолитографии.

Сформулируем набор задач работы, необходимый для достижения вышеописанных целей:

1. Реализовать модульную систему моделирования. Реализовать функционал по оптимизации и бенчмаркингу в рамках модульной системы;

2. Реализовать модуль расстановки непечатаемых вспомогательных структур (SRAF) для модульной системы моделирования и оптимизации;

3. Для модульной системы моделирования и оптимизации реализовать модуль расчёта фотолитографии, а также модуль оценки окна фотолитографического процесса;

4. Реализовать модуль симуляции шероховатости боковых стенок топологических элементов, сформированных с использованием фотолитографии для модульной системы моделирования и оптимизации;

5. Осуществить практическое применение модульной системы моделирования и разработанных модулей для определения закономерностей, влияющих на амплитуду шероховатости;

6. Осуществить практическое применение модульной системы моделирования и разработанных модулей для формулировки особенностей влияния SRAF на амплитуду шероховатости;

7. Осуществить практическое применение модульной системы моделирования и разработанных модулей для определения лучшего алгоритма поиска правил расстановки SRAF, с учетом их влияния на шероховатость.

С реализацией всех алгоритмов, описанных в поставленных выше задачах, появится возможность их государственной регистрации в виде единого программного пакета.

Рекомендованный список диссертаций по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Введение диссертации (часть автореферата) на тему «Оптимизация в задачах моделирования, связанных с формированием фотолитографического изображения»

1.1.2 Научная новизна работы

Как уже упоминалось, в данной работе предполагается реализация модулей, связанных с особенностями технологии микроэлектроники, для разработанного ПО моделирования и оптимизации. В основе научной новизны работы лежит реализация оригинальной модели симуляции шероховатости боковых стенок топологических элементов, сформированных с использованием фотолитографии. Уникальный подход к симуляции шероховатости, составляющий главную часть соответствующей модели, позволяет связать технологические параметры, а также параметры материалов, используемых в производстве (например, параметры фоторезиста) с параметрами шероховатости итоговой структуры. Впервые систематизированы закономерности, определяющие величину амплитуды шероховатости боковых стенок топологических элементов, сформированных посредством фотолитографии. Сформулированы подходы, потенциально позволяющие добиться её уменьшения: максимизация SLOPE, увеличение времени экспонирования, подбор оптимального фоторезиста.

На основе модели симуляции шероховатости и с использованием разработанного оптимизационного ПО получен ряд уникальных результатов, относящихся к оптимизации шероховатости боковых стенок топологических элементов, сформированных посредством фотолитографии. В частности, развита гипотеза о влиянии непечатаемых вспомогательных структур на шероховатость. На основе механизма взаимодействия SRAF и шероховатости (SRAF влияют на SLOPE, увеличение SLOPE равносильно уменьшению амплитуды шероховатости)

предложен подход к уменьшению амплитуды шероховатости с помощью внесения коррекций в топологию посредством расстановки БКЛБ.

С использованием разработанного оптимизационного ПО проведён бенчмаркинг подходов (основанных на оптимизации) к решению задачи определения правил расстановки непечатаемых вспомогательных структур. Сравнение подходов проводилось в том числе с точки зрения оценки размера итогового окна технологического процесса фотолитографии. Предложен вариант лучшего подхода к решению этой задачи, с учётом влияния непечатаемых вспомогательных структур на шероховатость.

1.1.3 Практическая значимость работы

В основе практической значимости данной работы лежит модульная система моделирования, имеющая необходимый инструментарий для оптимизации и бенчмаркинга оптимизационных алгоритмов. Как уже упоминалось, решения такого рода уже существуют - в пример можно привести такой САПР приборно-технологического моделирования, как БупорБуБ ТСАО или мультифизический САПР СОМБОЬ МиШрИуБ^Б. Известных отечественных аналогов САПР такого типа, однако, не имеется. Более того, в обоих указанных САПР (как и в других такого типа) отсутствует функционал по бенчмаркингу оптимизационных алгоритмов для конкретной задачи. Оба эти фактора определяют практическую значимость первой части данной работы.

Модуль расстановки непечатаемых вспомогательных структур, реализованный и зарегистрированный в рамках работы, позволит отказаться от зарубежных аналогов, а также проводить тонкую настройку размещения БКЛБ по топологии. В совокупности с модулем оценки окна процесса фотолитографии, также реализованным, зарегистрированным и отсутствующим в ряде доступных коммерческих средств моделирования, алгоритм расстановки непечатаемых вспомогательных структур будет одним из первых шагов к проектированию собственных технологических процессов микроэлектроники с низкими проектными нормами.

Высокоэффективный алгоритм симуляции шероховатости, разработанный и зарегистрированный в рамках данной работы, позволяет проводить симуляцию шероховатости «на лету». Это откроет инженерам, имеющим дело с планарной технологией, множество возможностей, таких, например, как оценка потерь, связанных с шероховатостью в схемах интегральной фотоники, либо учёт шероховатости при проектировании транзисторов для низких проектных норм. Более того, сформулированные подходы к оптимизации шероховатости могут сыграть решающую роль в определении успешности разработки и реализации новых устройств микроэлектроники и интегральной фотоники, а также потенциально повысить выход годных.

Следует отметить, что функционал итогового модульного ПО моделирования, оптимизации и бенчмаркинга поможет в развитии как микроэлектроники в целом, так и Российской микроэлектроники, в частности.

1.1.4 Основные положения, выносимые на защиту

Сформулируем 5 положений, выносимых на защиту кандидатской диссертации:

1. Алгоритм расстановки непечатаемых вспомогательных структур для технологии с критическими размерами 90 нм. Реализация алгоритма оценки окна фотолитографического процесса для оценки качества их расстановки;

2. Алгоритм симуляции шероховатости боковых стенок топологических элементов, сформированных посредством фотолитографии;

3. Закономерности, определяющие величину амплитуды шероховатости боковых стенок топологических элементов, сформированных посредством фотолитографии. Подходы к оптимизации шероховатости;

4. Особенности влияния непечатаемых вспомогательных структур на амплитуду шероховатости боковых стенок топологических элементов. Подход к уменьшению амплитуды шероховатости на основе внесения коррекций в топологию посредством расстановки непечатаемых вспомогательных структур. При неправильной расстановке непечатаемых вспомогательных структур для

технологии с критическими размерами 90 нм величина LER шероховатости может возрасти на величину вплоть до 35%;

5. Результаты определения лучшего алгоритма оптимизации для задачи поиска правил расстановки непечатаемых вспомогательных структур, с учётом их влияния на шероховатость.

1.1.5 Методы исследования

Основным инструментом для реализации всех запланированных задач работы является язык программирования Python версии 3. Помимо стандартного функционала языка применён следующий набор библиотек:

• Numpy - для работы с матричными объектами при разработке алгоритма оценки окна процесса и модели шероховатости;

• Scipy - для использования оптимизационных алгоритмов из библиотеки;

• Shapely - для работы с геометрическими объектами при разработке модели шероховатости;

• Matplotlib - как основной инструмент визуализации полученных результатов;

• Pyqt5 - для разработки графического интерфейса;

• Phidl - для работы с топологической информацией.

Обработка полученных результатов будет проводиться на основе языка Python с использованием всех вышеописанных библиотек.

Моделирование фотолитографии реализовано на базе САПР Mentor Graphics Calibre с применением языка программирования TCL, предоставляющего API для этого САПР. Расстановка непечатаемых вспомогательных структур, а также целевые функции для оптимизации параметров их расстановки реализованы на специальном языке SVRF, являющимся частью САПР Mentor Graphics Calibre и предоставляющим API для работы с топологическими объектами в рамках него.

1.1.6 Достоверность и апробация результатов диссертационной работы, личный вклад автора

Большинство реализованных в данной работе алгоритмов прошли государственную регистрацию программного обеспечения и были успешно

применены для получения корректных с физической и научной точки зрения результатов. Результаты диссертации по мере получения докладывались автором. Работа обобщает результаты, представленные в научных публикациях автора.

Автор диссертации выступал с докладами по теме работы (либо участвовал в их подготовке) на следующих международных и всероссийских конференциях:

• Конференция МФТИ 60, 61, 62;

• МИЭТ «Микроэлектроника и информатика - 2019»;

• МММЭК - 2019;

• ИТТ - 2020;

• SPB-OPEN 2022;

• Школа молодых ученых 2019, 2020, 2021, 2023 в рамках форума «Микроэлектроника».

Результаты работы автора по текущей тематике нашли своё отражение в 14 научных работах, опубликованных в ряде зарубежных и российских рецензируемых научных журналов, в том числе из перечня ВАК - 10 публикаций:

1. Шамин Е. С. и др. Генерация Rule-based SRAF для двумерных топологических структур с учетом правил MRC: проблемы и решения //Электронная техника. Серия 3: Микроэлектроника. - 2019. - №. 2. - С. 36-41;

2. Балан Н. Н., Иванов В.В., Кузовков А.В., Шамин Е.С. Место фазосдвигающих фотошаблонов в современной полупроводниковой технологии //Электронная техника. Серия 3: Микроэлектроника. - 2019. - №. 2. - С. 54-63;

3. Балан Н. Н., Иванов В.В., Кузовков А. В., Соколова Е. В., Шамин Е. С. Основные подходы к моделированию формирования фоторезистивной маски в вычислительной литографии //Известия высших учебных заведений. Материалы электронной техники. - 2020. - Т. 22. - №. 4. - С. 279-289;

4. Горнев Е. С., Шамин Е. С. Разработка средства расстановки вспомогательных непечатаемых структур для технологии 90 нм //Труды научного совета РАН. - 2019. - С. 140-145;

5. Balan N. N., Ivanov V.V., Kuzovkov A. V., Sokolova E. V., Shamin E. S. Basic approaches to simulation of resist mask formation in computational lithography //Modern electronic materials. - 2020. - Т. 6. - №. 1. - С. 37-45;

6. Шарапов А. А., Шамин Е. С., Скуратов И. Д., Горнев Е. С. и др. Studying Photolithography Impact on Nanoscale Sidewall Roughness in Resist While Forming Microelectronic Structures //Наноиндустрия. - 2020. - Т. 13. - №. S5-3. - С. 872-874.

7. Шамин Е. С., Харченко Е. Л. Алгоритм расчета окон процесса фотолитографии на основе модели резиста с постоянным порогом //Наноиндустрия. - 2020. - №. S96-2. - С. 756-757;

8. Харченко Е. Л., Шамин Е. С., Кузовков А. В., Иванов В. В. Разработка и применение экспресс-метода для увеличения окна литографического процесса //Наноиндустрия. - 2020. - №. S96-2. - С. 730-732;

9. Ипатова Е. В., Иванов В. В., Шамин Е. С. Разработка методики оценки стабильности OPC-решения //Наноиндустрия. - 2020. - №. S96-2. - С. 623-626;

10. Sharapov A. A. Shamin E. S., Skuratov I. D., Gornev E. S. Grounds and problem statement for software complex for photolithography optimization for minimization of losses in optical structures of photonic integrated circuits //IOP Conference Series: Materials Science and Engineering. - IOP Publishing, 2020. - Т. 939. - №. 1. - С. 012070;

11. Шамин Е. С., Горнев Е. С. Анализ и сравнение различных подходов к экстракции параметров модели мемристора //Известия высших учебных заведений. Материалы электронной техники. - 2022. - Т. 24. - №. 4. - С. 248-254;

12. Shamin E. S. et al. Sidewall roughness model for optical losses calculation in photonic integrated circuits //Научно-технические ведомости Санкт-Петербургского государственного политехнического университета. Физико-математические науки. - 2022. - Т. 15. - №. S3. 3. - С. 70-75;

13. Shamin E. S., Gornev E. S. Analysis and comparison of different approaches to the extraction of parameters of the memristor model //Russian Microelectronics. -2022. - Т. 51. - №. 8. - С. 649-653;

14. Шамин Е.С. и др. Оптимизация шероховатости боковых стенок топологических элементов. Постановка задачи и подходы к решению //Наноиндустрия. - 2024. -Т. 17 (128). - №. 10S. - С. 694-700.

На основе результатов, полученных в процессе работы над диссертацией, автором было проведено 3 государственных регистрации программного обеспечения:

1. Шамин Е. С., Кузовков А. В. Инструмент определения и реализации правил расстановки Rule-based SRAF. Номер свидетельства - RU 2019665698. - 2019;

2. Шамин Е. С. Инструмент расчёта окон процесса фотолитографии на основе модели резиста с постоянным порогом CTPWizard. Номер свидетельства - RU 2019667727. - 2019;

3. Шамин Е. С., Шарапов А. А., Скуратов И. Д. Инструмент симуляции двумерных неровностей краёв структур, сформированных посредством фотолитографии LERSIM. Номер свидетельства - RU 2023616842. - 2023.

В основу части работы (модель симуляции шероховатости) легли результаты, полученные командой с участием автора в рамках успешно завершённого грантового исследования:

• Конкурс - «УМНИК - Проектная команда. Электроника». Тема исследований - «Разработка программного обеспечения для оценки оптических потерь в радиофотонных интегральных схемах на этапе проектирования с верификацией на примере высокочастотного электрооптического модулятора на основе технологии КНИ». Состав участников - Скуратов И. Д., Шамин Е. С., Демидов С. О. Год завершения грантового исследования - 2023.

Все представленные в диссертационной работе результаты получены автором лично или при его непосредственном участии. Автор являлся главным разработчиком всех описанных алгоритмов и играл решающую роль в получении и обработке всех научных результатов, полученных на их основе. Автор принимал участие во всех совместных обсуждениях результатов и подготовке рукописей к публикации.

1.1.7 Структура и объём работы

Диссертационная работа состоит из введения, четырех глав, заключения, планов развития и списка используемой литературы. Общий объем работы составляет 200 страниц и включает 112 рисунков, 5 таблиц, 39 формул и список цитируемой литературы, содержащий 130 наименований.

1.2 Обзор алгоритмов оптимизации. Классификация, принципы работы. Бенчмаркинг

1.2.1 Постановка задачи оптимизации

В первую очередь следует ввести определение оптимизации - это процесс выбора наилучшего элемента по некоторому критерию из набора доступных альтернатив. В рамках более формального подхода, оптимизация состоит в поиске оптимума - экстремума, (минимума/максимума) некоторой (целевой) функции на множестве её определения, путём систематического выбора аргументов для этой функции из этого множества, расчёта этой функции, а также дальнейшего сравнения результатов этих расчётов для выбора наилучшего набора параметров (аргументов функции).

Задачи оптимизации делятся на две области по виду аргументов целевой функции:

• Непрерывная оптимизация - в рамках которой все аргументы целевой функции могут принимать значения только из непрерывного множества (целых чисел) [21].

• Дискретная оптимизация - в рамках которой некоторые (или все) аргументы целевой функции могут принимать только дискретные значения [22];

В данной работе рассматривается только первый тип задач оптимизации. Заранее также стоит отметить, что целевые функции для всех рассмотренных задач определены на множестве действительных чисел некоторой размерности и производят его отображение на множество действительных чисел, имеющее размерность 1.

Описание задачи оптимизации - попытка в математических терминах выразить цель, состоящую в решении некоторой проблемы наилучшим образом [23]. Для того чтобы полностью описать задачу оптимизации необходимо произвести выбор целевой функции и указать, какого типа оптимум необходимо искать - максимум или минимум (формулировка задачи в смысле поиска максимума эквивалентна задаче поиска минимума для целевой функции, взятой с минусом):

Далее - указать множество (посредством задания ограничивающих функций), на котором она определена (то есть определить, где необходимо искать решение) [24], и указать точку начального приближения в нем:

а также определиться со способом поиска решения, то есть, с самим оптимизационным алгоритмом.

Вектор х* = (х1, ..., Хп) называется решением оптимизационной задачи, если на этом векторе удовлетворяются условия, задаваемые всеми ограничивающими функциями, а также целевая функция на этом векторе имеет максимум/минимум на заданном множестве.

Важно отметить, что тщательная проработка каждой из трёх вышеописанных частей оптимизационной задачи является критической для проведения успешной оптимизации:

• Подобранная целевая функция должна явным образом отражать местонахождение наилучшего решения задачи (например функция среднеквадратичного отклонения (МБЕ) для задачи с одним оптимумом). Важно помнить, что алгоритм оптимизации выдаёт не ожидаемое пользователем решение, а решение, соответствующее максимуму/минимуму целевой функции;

шт ^ (х) или тах ^ (х) ¡0: Еп ^ Е

(1)

К(х) <ЪЬ I = 1,..., т

£ : Еп ^ Е

^0 = /-.у.0 „0л

Л (Л 1 ,...,л п )

(2)

• Для определения множества, на котором будет проводиться поиск решения, а также точки начального приближения, необходимо предварительно провести оценки, показывающие, где следует искать решение. Важно соблюсти баланс между временем, затраченным на поиск качественного начального приближения, и итоговым временем работы оптимизационного алгоритма. Правильное определение области поиска также является важным - если искомый оптимум в неё не входит, потребуется дополнительное время на перезапуск расчётов;

• Для выбора подходящего алгоритма оптимизации необходимо в первую очередь оценить, сколько в задаче параметров. Градиентные методы, применённые к задаче с высокой размерностью пространства параметров, будут менее выгодны с точки зрения времени, чем, к примеру, эволюционные алгоритмы [25].

В дополнение к вышеописанным положениям, определяющим успешность оптимизации, необходимо добавить ещё одно, относящееся к постановке изначальной задачи, а не к алгоритму оптимизации, применяемому для её решения:

• Необходимо продумать, как параметризованна изначальная задача. Достаточно проблематично проводить поиск решения с наилучшим оптимизационным алгоритмом и целевой функцией, когда изначальная параметризация задачи не позволяет его достичь.

1.2.2 Классификация алгоритмов оптимизации

Анализ приведённого выше описания позволяет сделать вывод, что даже в подразделе оптимизации, с целевыми функциями, определёнными на непрерывных множествах, может существовать значительное число самых разнообразных оптимизационных алгоритмов. Действительно, хотя для каждой задачи оптимизации должна быть задана целевая функция, ограничения и начальное приближение для неё, а также сам оптимизационный алгоритм, однако, общее определение (1, 2) не накладывает требований ни на первое, ни на второе, ни на формулировку алгоритма. Ввиду этого, для большей конкретизации темы следует привести минимальную классификацию оптимизационных задач с примерами для каждого выделенного класса.

Классификацию задач оптимизации можно провести по следующему набору особенностей (помимо уже упомянутого разделения задач на определённые на непрерывном и дискретном множестве):

• По размерности пространства параметров;

• По виду ограничений на множество допустимых параметров;

• По глобальности искомого оптимума;

• По вычислительной сложности расчёта целевой функции;

• По принципу поиска решения (принципу работы алгоритма оптимизации);

• По требованиям применяемых алгоритмов на гладкость целевой функции;

• По локальности работы применяемого алгоритма поиска решения. Рассмотрим каждую из особенностей подробнее и приведём практические примеры оптимизационных задач, обладающих рассматриваемой особенностью.

По размерности пространства параметров оптимизационные задачи можно разделить на:

• Одномерные - это задачи поиска оптимума функции одной переменной, где X - параметр целевой функции, а У - её значение. В качестве примера можно привести задачу поиска координаты У2 средней из трёх точек двумерной кривой Безье для достижения общей необходимой длинны кривой при фиксации всех остальных координат (рисунок 1).

Рисунок 1 - Задача поиска координаты У2 средней из трех точек двумерной кривой Безье для получения кривой необходимой общей длинны (равной 10).

Для реализации этого примера применялся метод градиентного спуска [26] с целевой функцией в виде квадрата разности текущей длины кривой и необходимой (равной 10).

• Многомерные - самый распространённый класс задач. В рамках этого класса, очевидно, у целевой функции больше одного параметра. В качестве примера можно привести задачу определения оптимальной формы фотонного сплиттера на основе 13 параметров, задающих его ширины в разных точках (рисунок 2) [27]. В качестве целевой функции авторы использовали значение общих потерь в дБ. В качестве оптимизатора применялся алгоритм Particle swarm [28].

Рисунок 2 - Задача определения оптимальной формы фотонного сплиттера на основе 13 параметров, задающих его ширины в разных точках [27].

По виду ограничений на множество допустимых параметров оптимизационные задачи можно разделить на:

• Неограниченные - это значит, что оптимизация работает с целевой функцией на всей области её определения. В качестве примера можно привести задачу поиска коэффициентов полинома 4й степени, аппроксимирующего некоторый набор данных (рисунок 3). Для реализации этого примера вместо классического метода наименьших квадратов применялась оптимизация. В качестве оптимизатора использовался метод Пауэлла [29]. В качестве целевой функции использовалось среднеквадратичное отклонение (МБЕ). В отличие от двух предыдущих примеров,

затруднительно заранее предположить, в какой области следует искать значения полиномиальных коэффициентов - гораздо проще оставить оптимизационную задачу неограниченной.

-3-2-10 1 2

ОСЬ X

Рисунок 3 - Задача поиска коэффициентов полинома 4й степени, аппроксимирующего набор данных с помощью оптимизации методом Пауэлла.

• Имеющие ограничения. Такие задачи, как и в случае прошлого разбиения, гораздо более распространены, чем неограниченные. Ограничения в реальных примерах могут соответствовать условиям типа «решение находится на определённой поверхности» или «отрицательные значения параметра целевой функции не имеют физического смысла». Дополнительно, как уже упоминалось, могут иметь место предположения о подходящей области поиска решения. В качестве примера можно привести уже упомянутую выше задачу поиска координаты У2 средней из трёх точек двумерной кривой Безье для достижения общей необходимой длинны кривой. Детальный анализ этой задачи позволяет отметить, что для неё существует не одно, а два оптимальных решения - первое при У2 меньше нуля и второе при У2 больше нуля (рисунок 4). В этих двух оптимумах вид итоговой кривой Безье будет отличаться, что может быть принципиальным для исходной цели расчёта этой кривой. При задании одного из условий на У2 в качестве ограничения для задачи - У2 больше или меньше нуля - можно сократить число оптимумов до одного, и таким образом зафиксировать вид итоговой кривой.

Рисунок 4 - Два оптимума в задаче поиска координаты У2 средней из трёх точек кривой Безье для достижения общей необходимой длинны кривой (равной 10).

По глобальности искомого оптимума (ещё раз отметим, что в общем смысле не принципиально, минимум это или максимум) задачи оптимизации делятся на:

• Задачи с использованием алгоритма поиска локального экстремума. Целевая функция в оптимизационной задаче может быть сколько угодно сложной, в том числе иметь несколько оптимумов (рисунок 5).

Параметр задачи

Рисунок 5 - Общий вид целевой функции оптимизационной задачи с точки зрения

вида оптимумов (минимумов). В задачах, использующих алгоритмы поиска локального оптимума, решением обычно объявляется первый попавшийся подходящий минимум/максимум. Такой подход не всегда является идеальным для реальной задачи, однако, показывает приемлемый баланс между временем поиска оптимума и его качеством. В связи с этим растёт важность правильности формулировки оптимизационной задачи -

оптимум, в случае применения алгоритмов поиска локального максимума/минимума, должен быть очевиден и достижим.

• Задачи с использованием алгоритма поиска глобального оптимума. Ценность решения проблемы определения наилучшего варианта из всех существующих нельзя преувеличить. Не удивительно, что задачи глобальной оптимизации так распространены в области моделирования реальных систем и процессов [30]. Однако, применение алгоритмов поиска глобального экстремума обычно сопряжено со значительными трудностями. В рамках решения задач с нелинейной целевой функцией все существующие алгоритмы поиска глобального оптимума требуют значительных вычислительных ресурсов, а в рамках решения инженерных задач - ещё и значительного времени. В качестве одного из классических примеров можно привести оптимизацию с использованием метода Монте-Карло [31]. Известным фактом является то, что применение этого метода сопряжено с высокой вычислительной сложностью и значительными временными затратами [32], хотя и позволяет получать решения там, где его этого невозможно добиться с помощью других алгоритмов. Метод Монте-Карло, однако, позволяет получить хорошие оценки глобального экстремума только при большом количестве итераций. Это не всегда является удобным. В таком случае для поиска глобального оптимума могут быть применены другие стохастические методы, как, например, алгоритм имитации отжига [33] или методы, основанные на различных эвристиках, например, генетический алгоритм [34].

По вычислительной сложности расчёта целевой функции задачи оптимизации можно разделить на:

• Задачи, в которых одно вычисление целевой функции занимает время, сопоставимое с необходимым для оптимизации. К этому классу относится большинство инженерных задач. В качестве примера можно привести задачу определения оптимальной формы фотонного сплиттера, упомянутую выше [27]. Для получения данных об общих потерях авторы работы использовали алгоритм БОТБ, предполагающий значительное время на расчёт, а также имеющий высокие

требования к вычислительным ресурсам [35]. Длительность одного расчёта с использованием алгоритма БОТБ подтолкнула другую группу учёных к реализации конкурирующего оптимизационного подхода, в том числе для получения аналогичных результатов. Как отмечено в статье [2], получение финальной формы сплиттера из статьи [27] потребовало проведения 1500 симуляций, в то время как новый алгоритм, реализующий то, что можно отнести к области генеративного дизайна, требует всего 102 симуляции. В итоге, применение нового подхода должно значительно сократить общее время проектирования, в частности, фотонного сплиттера. Дополнительно новый подход должен позволить находить решения для более широкого класса задач.

Похожие диссертационные работы по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Список литературы диссертационного исследования кандидат наук Шамин Евгений Сергеевич, 2024 год

7. Литература

1. Vasant P. (ed.). Handbook of research on modern optimization algorithms and applications in engineering and economics. - IGI Global, 2016.

2. Lalau-Keraly C. M. et al. Adjoint shape optimization applied to electromagnetic design //Optics express. - 2013. - Т. 21. - №. 18. - С. 21693-21701.

3. Zhu H. Big data and artificial intelligence modeling for drug discovery //Annual review of pharmacology and toxicology. - 2020. - Т. 60. - С. 573-589.

4. Goldberg Y. Neural network methods for natural language processing. - Springer Nature, 2022.

5. Osman H., Omar S. S., Mustafa A. S. A Machine learning model for stock market prediction //International Journal of Computer Science and Telecommunications. - 2013.

- Т. 4. - №. 12. - С. 17-23.

6. Beiranvand V., Hare W., Lucet Y. Best practices for comparing optimization algorithms //Optimization and Engineering. - 2017. - Т. 18. - С. 815-848.

7. Gent I. P., Walsh T. How not to do it. - Department of Artificial Intelligence, University of Edinburgh, 1994.

8. Bartz-Beielstein T. et al. Benchmarking in optimization: Best practice and open issues //arXiv preprint arXiv:2007.03488. - 2020.

9. Goldberg D. E. Optimization, and machine learning //Genetic algorithms in Search.

- 1989.

10. Wolpert D. H., Macready W. G. No free lunch theorems for optimization //IEEE transactions on evolutionary computation. - 1997. - Т. 1. - №. 1. - С. 67-82.

11. Brownlee J. et al. A note on research methodology and benchmarking optimization algorithms //Complex Intelligent Systems Laboratory (CIS), Centre for Information Technology Research (CITR), Faculty of Information and Communication Technologies (ICT), Swinburne University of Technology, Victoria, Australia, Technical Report ID. -2007. - Т. 70125.

12. Haftka R. T. Requirements for papers focusing on new or improved global optimization algorithms //Structural and Multidisciplinary Optimization. - 2016. - Т. 54.

- С. 1-1.

13. Красников Г.Я. Возможности микроэлектронных технологий с топологическими размерами менее 5 нм // Наноиндустрия. 2020. Т. 13. №2 S5-1(102). С. 13-19.

14. Liebmann L. W. et al. Optimizing style options for subresolution assist features //Optical Microlithography XIV. - SPIE, 2001. - Т. 4346. - С. 141-152.

15. Шамин Е.С. и др. ФКБ. Моделирование и проектирование. //Наноиндустрия.

- 2024. -Т. 17 (128). - №. 10S. - С. 424-428.

16. Sandborn P. et al. Linear frequency chirp generation employing optoelectronic feedback loop and integrated silicon photonics //CLEO: Science and Innovations. -Optica Publishing Group, 2013. - С. CTu2G. 5.

17. Lee J. Y. et al. Effect of line-edge roughness (LER) and line-width roughness (LWR) on sub-100 nm device performance //Advances in Resist Technology and Processing XXI. - SPIE, 2004. - Т. 5376. - С. 426-433.

18. Guiana B., Zadehgol A. Characterizing THz scattering loss in nano-scale SOI waveguides exhibiting stochastic surface roughness with exponential autocorrelation //Electronics. - 2022. - Т. 11. - №. 3. - С. 307.

19. Шамин Е.С. и др. Оптимизация шероховатости боковых стенок топологических элементов. Постановка задачи и подходы к решению //Наноиндустрия. - 2024. -Т. 17 (128). - №. 10S. - С. 694-700.

20. Шамин Е.С., Харченко Е.Л., Кузовков А.В., Иванов В.В. Инструмент определения и реализации правил размещения SRAF для технологии 90 нм // 26-я Всероссийская межвузовская научно-техническая конференция «Микроэлектроника и информатика-2019». МИЭТ, 2019.

21. Jeyakumar V., Rubinov A. M. (ed.). Continuous Optimization: Current Trends and Modern Applications. - Springer Science & Business Media, 2006. - Т. 99.

22. Lee J. A first course in combinatorial optimization. - Cambridge University Press, 2004. - Т. 36.

23. Griva I., Nash S. G., Sofer A. Linear and Nonlinear Optimization 2nd Edition. -Society for Industrial and Applied Mathematics, 2008.

24. Boyd S. P., Vandenberghe L. Convex optimization. - Cambridge university press, 2004.

25. Stanovov V. et al. Why don't you use Evolutionary Algorithms in Big Data? //IOP Confer-ence Series: Mate-rials Science and Engineering. - IOP Publishing, 2017. - V. 173. - №. 1. - P. 012020.

26. Гребенникова И. В. Методы оптимизации. - 2017.

27. Zhang Y. et al. A compact and low loss Y-junction for submicron silicon waveguide //Optics express. - 2013. - Т. 21. - №. 1. - С. 1310-1316.

28. Bonyadi M. R., Michalewicz Z. Particle swarm optimization for single objective continuous space problems: a review //Evolutionary computation. - 2017. - Т. 25. - №. 1. - С. 1-54.

29. Powell M. J. D. An efficient method for finding the minimum of a function of several variables without calculating derivatives //The computer journal. - 1964. - Т. 7.

- №. 2. - С. 155-162.

30. Pardalos P. M., Romeijn H. E., Tuy H. Recent developments and trends in global optimization //Journal of computational and Applied Mathematics. - 2000. - Т. 124. -№. 1-2. - С. 209-228.

31. Pulfer J. D., Waine C. An efficient monte carlo approach to optimization //Journal of chemical information and computer sciences. - 1998. - Т. 38. - №. 5. - С. 791-797.

32. Shonkwiler R. W., Mendivil F. Explorations in monte carlo methods. - Springer Science & Business Media, 2009.

33. Aarts E. H. L. et al. Simulated annealing: Theory and applications. - 1987.

34. Гладков Л. А., Курейчик В. В., Курейчик В. М. Генетические алгоритмы. -2010.

35. Gallagher D., Design P. Photonic CAD matures //IEEE LEOS NewsLetter. - 2008.

- P. 8-14.

36. Novak E., Ritter K. The curse of dimension and a universal method for numerical integration //Multivariate approximation and splines. - Birkhauser Basel, 1997. - С. 177187.

37. Ипатова Е. В., Харченко Е. Л. Генерация топологии случайной конфигурации для отработки OPC-модели //Наноиндустрия. - 2019. - №. 89. - С. 250.

38. Горнев Е. С., Шамин Е. С. Разработка средства расстановки вспомогательных непечатаемых структур для технологии 90 нм //Труды научного совета РАН. - 2019. - С. 140-145.

39. Nelder J. A., Mead R. A simplex method for function minimization //The computer journal. - 1965. - Т. 7. - №. 4. - С. 308-313.

40. Шамин Е. С. и др. Исследование особенностей экстракции параметров модели мемристора //Наноиндустрия. - 2021. - Т. 14. - №. S7. - С. 794-796.

41. Taherdangkoo M. et al. An efficient algorithm for function optimization: modified stem cells algorithm //Central European Journal of Engineering. - 2013. - Т. 3. - С. 3650.

42. McKinnon K. I. M. Convergence of the Nelder--Mead simplex method to a nonstationary point //SIAM Journal on optimization. - 1998. - Т. 9. - №. 1. - С. 148158.

43. Fletcher R. Practical methods of optimization. - John Wiley & Sons, 2000.

44. Рассел С. Искусственный интеллект. Современный подход. - 2006.

45. Zopounidis C., Pardalos P. M. (ed.). Handbook of multicriteria analysis. - Springer Science & Business Media, 2010. - Т. 103.

46. Vanderbei R. J. Linear programming: foundations and extensions //Journal of the Operational Research Society. - 1998. - Т. 49. - №. 1. - С. 94-94.

47. Powell M. J. D. On search directions for minimization algorithms //Mathematical programming. - 1973. - Т. 4. - С. 193-201.

48. Шамин Е. С., Горнев Е. С. Анализ и сравнение различных подходов к экстракции параметров модели мемристора //Известия высших учебных заведений. Материалы электронной техники. - 2022. - Т. 24. - №. 4. - С. 248-254.

49. AxelThevenot / Python Benchmark Test Optimization Function Single Objective // GitHub URL: https://github.com/AxelThevenot/Python Benchmark Test Optimization Function Single Objective (дата обращения: 05.03.2024).

50. Hansen N. et al. COCO: A platform for comparing continuous optimizers in a black-box setting //Optimization Methods and Software. - 2021. - Т. 36. - №. 1. - С. 114-144.

51. Hoffman K. L., Jackson R. H. F. In pursuit of a methodology for testing mathematical programming software //Evaluating mathematical programming techniques. - 1982. - С. 177-199.

52. Tukey J. W. et al. Exploratory data analysis. - 1977. - Т. 2. - С. 131-160.

53. Tufte E. R. The visual display of quantitative information //The Journal for Healthcare Quality (JHQ). - 1985. - Т. 7. - №. 3. - С. 15.

54. Strongin R. G., Sergeyev Y. D. Global optimization with non-convex constraints: Sequential and parallel algorithms. - Springer Science & Business Media, 2013. - Т. 45.

55. Dolan E. D., Moré J. J. Benchmarking optimization software with performance profiles //Mathematical programming. - 2002. - Т. 91. - С. 201-213.

56. Красников Г. Я., Горнев Е. С. Развитие полупроводниковой микроэлектроники ОАО" НИИМЭ и Микрон" //История отечественной электроники. - 2012. - С. 539-563.

57. Беспалов В. А. и др. Введение в дизайн фотошаблонов для изготовления микро-и наносистем. Cadence MaskCompose //М.: БИНОМ. Лаборатория знаний. -2013.

58. Chevalier P. et al. Rigorous model-based mask data preparation algorithm applied to grayscale lithography for the patterning at the micrometer scale //Journal of Microelectromechanical Systems. - 2021. - Т. 30. - №. 3. - С. 442-455.

59. Flagello D. G., Smith D. G. Calculation and uses of the lithographic aerial image //Advanced Optical Technologies. - 2012. - Т. 1. - №. 4. - С. 237-248.

60. Лапшинов Б. А. Технология литографических процессов. - 2011.

61. Сивухин Д.В. Общий курс физики. Оптика. т. IV. М.: Наука, 1980.

62. Mack C. Fundamental principles of optical lithography: the science of microfabrication. - John Wiley & Sons, 2008.

63. Lavagno L. et al. Electronic design automation for integrated circuits handbook-2 volume set. - CRC press, 2006.

64. Hotta S., Okazak S. Layout design and lithography technology for advanced devices //Hitachi Review. - 2008. - Т. 57. - №. 3. - С. 117.

65. Mack C. A. Field guide to optical lithography. - Bellingham : SPIE, 2006.

66. Schellenberg F. M. A history of resolution enhancement technology //Optical review. - 2005. - Т. 12. - С. 83-89.

67. Ипатова Е. В., Иванов В. В., Шамин Е. С. Разработка методики оценки стабильности OPC-решения //Наноиндустрия. - 2020. - №. S96-2. - С. 623-626.

68. Балан Н. Н., Иванов В. В., Кузовков А. В. Форма осветителя как ключевой фактор при разработке методик дифракционной коррекции изображения в проекционной фотолитографии для технологий уровня 65 нм и менее //HOLOEXPO 2019. - 2019. - С. 233-241.

69. Zhang Z. et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm //Optics Express. - 2021. - Т. 29. - №. 4. - С. 5448-5465.

70. Bekaert J. et al. Scanner matching for standard and freeform illumination shapes using FlexRay //Optical Microlithography XXIV. - SPIE, 2011. - Т. 7973. - С. 515-526.

71. Балан Н. Н. и др. Основные подходы к моделированию формирования фоторезистивной маски в вычислительной литографии //Известия высших учебных заведений. Материалы электронной техники. - 2020. - Т. 22. - №. 4. - С. 279-289.

72. Гудмен Д. Введение в фурье-оптику. - 1970.

73. Levenson M. D., Viswanathan N. S., Simpson R. A. Improving resolution in photolithography with a phase-shifting mask //IEEE Transactions on electron devices. -1982. - Т. 29. - №. 12. - С. 1828-1836.

74. Балан Н. Н. и др. Место фазосдвигающих фотошаблонов в современной полупроводниковой технологии //Электронная техника. Серия 3: Микроэлектроника. - 2019. - №. 2. - С. 54-63.

75. Moore G. E. Cramming more components onto integrated circuits //Proceedings of the IEEE. - 1998. - ^ 86. - №. 1. - C 82-85.

76. Bodendorf C. T. et al. OPC with customized asymmetric pupil illumination fill //25th Annual BACUS Symposium on Photomask Technology. - SPIE, 2005. - r 5992. - C 688-697.

77. Yaegashi H. et al. Implementation of Double Patterning process toward 22-nm node //Lithography Asia 2009. - SPIE, 2009. - r 7520. - C 451-459.

78. Bencher C. et al. 22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP) //Optical Microlithography XXI. - SPIE, 2008. - r 6924. - C 14401446.

79. Mirsaeedi M., Torres J. A., Anis M. Self-aligned double patterning (SADP) layout decomposition //2011 12th International Symposium on Quality Electronic Design. -IEEE, 2011. - C 1-7.

80. Jung W. Y. et al. Patterning with spacer for expanding the resolution limit of current lithography tool //Design and Process Integration for Microelectronic Manufacturing IV. - SPIE, 2006. - r 6156. - C 481-489.

81. Hwang J. et al. A middle-1X nm NAND flash memory cell (M1X-NAND) with highly manufacturable integration technologies //2011 International Electron Devices Meeting. - IEEE, 2011. - C 9.1. 1-9.1. 4.

82. Altamirano-Sanchez E. et al. Self-aligned quadruple patterning to meet requirements for fins with high density //SPIE Newsroom. - 2016. - T 1.

83. Du Y. The comparison of the effectiveness of model-based SRAFs and rule-based SRAFs //2016 China Semiconductor Technology International Conference (CSTIC). -IEEE, 2016. - Q 1-7.

84. Xu X. et al. Subresolution assist feature generation with supervised data learning //IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. -2017. - T 37. - №. 6. - C 1225-1236.

85. Balasinski A. Design for manufacturability. - Springer, 2013.

86. Gabor A. H. et al. Subresolution assist feature implementation for highperformance logic gate-level lithography //Optical Microlithography XV. - SPIE, 2002.

- Т. 4691. - С. 418-425.

87. Pforr R. et al. Feature biasing versus feature-assisted lithography: a comparison of proximity correction methods for 0.5*(lambda/NA) lithography //Optical/Laser Microlithography VIII. - SPIE, 1995. - Т. 2440. - С. 150-170.

88. Mukherjee M. et al. The problem of optimal placement of sub-resolution assist features (SRAF) //Optical Microlithography XVIII. - SPIE, 2005. - Т. 5754. - С. 14171429.

89. Liebmann L. W., Mansfield S. M. Method for incorporating sub resolution assist features in a photomask layout: пат. 6413683 США. - 2002.

90. Шамин Е. С. и др. Генерация Rule-based SRAF для двумерных топологических структур с учетом правил MRC: проблемы и решения //Электронная техника. Серия 3: Микроэлектроника. - 2019. - №. 2. - С. 36-41.

91. Харченко Е. Л. и др. Разработка и применение экспресс-метода для увеличения окна литографического процесса //Наноиндустрия. - 2020. - №. S96-2.

- С. 730-732.

92. Seoud A. et al. Model-assisted template extraction SRAF application to contact holes patterns in high-end flash memory device fabrication //Optical Microlithography XXXI. - SPIE, 2018. - Т. 10587. - С. 164-173.

93. Jiang F. et al. EUV implementation of assist features in contact patterns //Extreme Ultraviolet (EUV) Lithography VII. - SPIE, 2016. - Т. 9776. - С. 530-540.

94. Нелаев В. В. Методы и средства моделирования и проектирования технологических процессов микроэлектроники //Доклады Белорусского государственного университета информатики и радиоэлектроники. - 2004. - №. 3 (7). - С. 62-72.

95. Hopkins H. H. On the diffraction theory of optical images //Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences. - 1953. - Т. 217. - №. 1130. - С. 408-432.

96. Goodman J. W. Statistical optics. - John Wiley & Sons, 2015.

97. Борн М. и др. Основы оптики. - 1973.

98. Dill F. H. et al. Modeling projection printing of positive photoresists //IEEE Transactions on Electron Devices. - 1975. - Т. 22. - №. 7. - С. 456-464.

99. Mack C. A. Process Specification: Measurement of the Positive Photoresist Parameters A, B, and C //Department of Defense, Fort Meade. MD. - 1985. - Т. 20755.

100. Mack C. A. Inside PROLITH: A Comprehensive Guide to Optical Lithography Simulation, Finle Technologies //Inc., Austin, TX. - 1997.

101. Родионов И. А., Шахнов В. А. Расчет значений весовых коэффициентов топологических структур для калибровки литографических моделей //Вестник Московского государственного технического университета им. НЭ Баумана. Серия «Приборостроение». - 2010. - №. Спецвыпуск. - С. 149-160.

102. Харченко Е. Л., Соколова Е. В., Горнев Е. С. Развитие и применение компактных моделей фоторезистивной маски //Российский форум «Микроэлектроника 2022». - 2022. - С. 634-635.

103. Granik Y., Medvedev D., Cobb N. Toward standard process models for OPC //Optical Microlithography XX. - SPIE, 2007. - Т. 6520. - С. 1447-1452.

104. Yoo J. Y. et al. Prediction of the critical dimensions by using a threshold energy resist model //Journal of the Korean Physical Society. - 2003.

105. Шамин Е. С., Харченко Е. Л. Алгоритм расчета окон процесса фотолитографии на основе модели резиста с постоянным порогом //Наноиндустрия. - 2020. - №. S96-2. - С. 756-757.

106. Shang H. et al. Investigation for sidewall roughness caused optical scattering loss of silicon-on-insulator waveguides with confocal laser scanning microscopy //Coatings. - 2020. - Т. 10. - №. 3. - С. 236.

107. Шарапов А. А., Баранов Г. В. Анализ факторов воздействия на шероховатость топологических структур Si микроэлектроники //Наноиндустрия. -2019. - №. 89. - С. 232.

108. Atar G. et al. Fabrication and characterization of large-core Yb/Al-codoped fused silica waveguides using dry etching //Optical Materials. - 2014. - Т. 38. - С. 265-271.

109. Goldfarb D. L. et al. Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processes //Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena. - 2004. - Т. 22. - №. 2. - С. 647-653.

110. Rasgon S. A. Origin, evolution, and control of sidewall line edge roughness transfer during plasma etching: дис. - Massachusetts Institute of Technology, 2005.

111. Ladouceur F., Love J. D., Senden T. J. Effect of side wall roughness in buried channel waveguides //IEE Proceedings-Optoelectronics. - 1994. - Т. 141. - №. 4. - С. 242-248.

112. Lee D. H. et al. Low-loss silicon waveguides with sidewall roughness reduction using a SiO2 hard mask and fluorine-based dry etching //Journal of Micromechanics and Microengineering. - 2014. - Т. 25. - №. 1. - С. 015003.

113. Шарапов А. А., Баранов Г. В. Сравнительный анализ методик оценки количественных характеристик шероховатости наноразмерных структур //Труды Московского физико-технического института. - 2018. - Т. 10. - №. 2 (38). - С. 7279.

114. Wittenzellner J. Printability and Line Edge Roughness of Optical Proximity Correction Features //Journal of the Microelectronic Engineering Conference. - 2003. -Т. 13. - №. 1. - С. 9.

115. Ban Y., Yang J. S. Layout aware line-edge roughness modeling and poly optimization for leakage minimization //Proceedings of the 48th Design Automation Conference. - 2011. - С. 447-452.

116. Kim M. et al. Impact of assistance feature to pattern profile for isolated feature in sub-65 nm node //Metrology, Inspection, and Process Control for Microlithography XXII. - SPIE, 2008. - Т. 6922. - С. 573-580.

117. Pani S. K. et al. Monte Carlo simulation studies of sidewall roughening during reactive ion etching //Applied Physics A. - 2007. - Т. 88. - С. 401-407.

118. Nagase M. et al. Nano-scale fluctuations in electron beam resist pattern evaluated by atomic force microscopy //Microelectronic engineering. - 1996. - Т. 30. - №. 1-4. -С. 419-422.

119. Namatsu H. et al. Influence of edge roughness in resist patterns on etched patterns //Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena. - 1998. - Т. 16. - №. 6. - С. 33153321.

120. Шарапов А.А. Исследование влияния молекулярной структуры электронных резистов на метрологические характеристики поверхностных дефектов наноструктур // 62-я Всероссийская научная конференция МФТИ. - 2019.

121. Namatsu H., Yamaguchi T., Kurihara K. Resist materials providing small line-edge roughness //MRS Online Proceedings Library (OPL). - 1999. - Т. 584. - С. 135.

122. Yamaguchi T. et al. Line-edge roughness characterized by polymer aggregates in photoresists //Advances in Resist Technology and Processing XVI. - SPIE, 1999. - Т. 3678. - С. 617-624.

123. Свидетельство о государственной регистрации программы для ЭВМ RU 2019665698. Инструмент определения и реализации правил расстановки Rule-based SRAF / Шамин Е. С., Кузовков А. В. Дата регистрации 28.11.2019.

124. Свидетельство о государственной регистрации программы для ЭВМ RU 2019667727. Инструмент расчёта окон процесса фотолитографии на основе модели резиста с постоянным порогом CTPWizard / Шамин Е. С. Дата регистрации 26.12.2019.

125. Sharapov A. A. et al. Grounds and problem statement for software complex for photolithography optimization for minimization of losses in optical structures of photonic integrated circuits //IOP Conference Series: Materials Science and Engineering. - IOP Publishing, 2020. - Т. 939. - №. 1. - С. 012070.

126. Шарапов А. А. и др. Studying Photolithography Impact on Nanoscale Sidewall Roughness in Resist While Forming Microelectronic Structures //Наноиндустрия. -2020. - Т. 13. - №. S5-3. - С. 872-874.

127. Shamin E. S. et al. Sidewall roughness model for optical losses calculation in photonic integrated circuits //Научно-технические ведомости Санкт-Петербургского государственного политехнического университета. Физико-математические науки. - 2022. - Т. 15. - №. S3. 3. - С. 70-75.

128. Свидетельство о государственной регистрации программы для ЭВМ RU 2023616842. Инструмент симуляции двумерных неровностей краёв структур, сформированных посредством фотолитографии LERSim / Шамин Е. С., Шарапов А. А., Скуратов И. Д. Дата регистрации 03.04.2023.

129. Lozano E. et al. An efficient algorithm to generate random sphere packs in arbitrary domains //Computers & Mathematics with Applications. - 2016. - Т. 71. - №. 8. - С. 1586-1601.

130. McCaughan A. N. et al. PHIDL: Python-based layout and geometry creation for nanolithography //Journal of Vacuum Science & Technology B. - 2021. - Т. 39. - №. 6.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.