Исследование неровностей краёв при формировании изображения в процессе литографии для микро-, наноэлектроники и фотоники тема диссертации и автореферата по ВАК РФ 00.00.00, кандидат наук Шарапов Андрей Анатольевич
- Специальность ВАК РФ00.00.00
- Количество страниц 121
Оглавление диссертации кандидат наук Шарапов Андрей Анатольевич
Введение
Глава 1. Неровность структур в микроэлектронике
1. 1 Измерение неровности края структур
1.2 Образование неровности края в процессе формирования структур
1.3 Влияние неровности края структур на характеристики элементов
1.4 Перспективные задачи исследования неровности края
Выводы к главе
Глава 2. Исследование методов оценки амплитуды неровности края по РЭМ-снимкам
2.1 Особенности исследования неровности края методом растровой электронной микроскопии
2.2 Методы расчёта количественных характеристик неровности края
2.3 Сравнительный анализ методов оценки количественных характеристик неровности края
Выводы к главе
Глава 3. Исследование физико-химических свойств фоторезистов с химическим усилением для построения модели образования неровности
3.1 Фотолитография с использованием фоторезистов с химическим усилением
3.2 Исследование свойств фоторезиста на этапе экспонирования
3.3 Исследование свойств фоторезиста на этапе постэкспозиционной сушки
3.4 Исследование свойств фоторезиста на этапе проявления
3.5 Калибровка параметров модели физико-химических процессов при фотолитографии
Выводы к главе
Глава 4. Моделирование образования неровности края и её влияния на характеристики элементов
4.1 Компьютерное моделирование материала фоторезиста
4.2 Моделирование неровности края в фоторезисте с учётом эффекта фотонного шума
4.3 Моделирование неровности края в фоторезисте с учётом флуктуации химического контраста
4.4 Оценка оптических потерь, вызванных неровностью боковых стенок, на примере пассивных элементов фотонных интегральных схем
Выводы к главе
Заключение
Благодарность
Список литературы
Приложение А. Утверждённый проект методики измерения амплитуды неровности края по РЭМ-снимку
Приложение Б. Утверждённый проект методики измерения дефектности по РЭМ-снимку
Введение
Рекомендованный список диссертаций по специальности «Другие cпециальности», 00.00.00 шифр ВАК
Автоматизация технологического процесса нанесения фоторезиста на основе моделей и методов цифрового управления2023 год, кандидат наук Белоусова Олеся Владимировна
Акриламидные производные полифторированных халконов для фотолитографического формирования электропроводящих микроструктур на анодированном алюминии2022 год, кандидат наук Деревяшкин Сергей Владимирович
Теоретическое и экспериментальное исследование микросферной фотолитографии на подложках кремния для селективной эпитаксии полупроводниковых структур2022 год, кандидат наук Дворецкая Лилия Николаевна
Исследование методов формирования структур с критическими размерами до 10 нм электронно-лучевой литографией на основе HSQ резиста2021 год, кандидат наук Шишлянников Антон Валерьевич
Исследование и разработка методов формирования устройств наноэлектроники с применением технологии наноимпринт литографии2014 год, кандидат наук Зайцев, Алексей Александрович
Введение диссертации (часть автореферата) на тему «Исследование неровностей краёв при формировании изображения в процессе литографии для микро-, наноэлектроники и фотоники»
Актуальность работы
Процесс производства полупроводниковых интегральных микросхем -один из сложнейших технологических процессов, изобретенных человечеством, и объединяющий многие научные области - физику, материаловедение, химию, электронику, оптику и другие.
Основной этап этого технологического процесса - литография -процесс переноса топографической картины шаблона на поверхность полупроводниковой пластины. Именно процесс литографии определяет минимальный размер элементов на полупроводниковой пластине, степень интеграции микросхемы, а значит её размеры и быстродействие при эксплуатации.
При формировании элементов наименьшего размера, возможного при заданных характеристиках фотолитографической установки и свойствах фотолитографических материалов, особую важность приобретает вопрос точности проектирования исходной топологии (рисунка) и реализации допусков, которые влияют на электро- и оптофизические параметры приборов [87, 102]. Необходимо обеспечить точность проектирования, превышающую точность технологических процессов. Уровень данного превышения определяет надёжность интегральных микросхем [3].
Одна из ключевых характеристик, количественно описывающая качество проявления элементов на интегральных схемах, непосредственно связанная с отклонениями в микрогеометрии структур и доступная для измерения с помощью неразрушающих методов, - неровность края. Данная величина представляет собой среднеквадратичное отклонение положений точек концов отрезков разбиения края от усреднённого положения, где
отрезки разбиения малы по сравнению с длиной исследуемого объекта. По сути, неровность края - это двумерное представление шероховатой поверхности (Рисунок 1). Благодаря преимущественно вертикальной направленности действия процессов формирования профиля в слоях по технологии кремниевой микроэлектроники, амплитуда неровности края является практически эквивалентной величиной амплитуде шероховатости, несмотря на понижение размерности исследуемого объекта.
Рисунок 1 - Неровность края линии (вид перпендикулярно плоскости пластины)
Вопрос контроля отклонений геометрии сформированных микроструктур актуален во всех сферах, где для формирования элементов применяется технология фотолитографии, - микро-, наноэлектронике и фотонике.
В микроэлектронике при уменьшении технологических норм значение амплитуды неровности края в относительном выражении прекращает уменьшаться пропорционально проектным нормам, а становится всё больше, - данная тенденция на протяжении 15 лет с 1998 по 2013 год отражалась в выпусках международной дорожной карты по развитию
полупроводниковой технологии 1ТЯ8 [35]. Так, для фотолитографии с использованием актиничного излучения на длине волны 193 нм характерными величинами среднеквадратичного отклонения края элемента являются значения от 4 нм и выше, т.е. составляют около 5% от номинального поперечного размера элементов. Теоретически и экспериментально установлено, что для проектных норм ниже 100 нм размерные эффекты шероховатости боковых поверхностей структур приводят к деградации характеристик приборов и ухудшению их воспроизводимости по пластине [45].
Кроме того, при переходе к более низким проектным нормам в связи со стохастической природой процесса образования неровности края растёт время выхода на окно процесса (так называется диапазон параметров, при котором достигается наилучший результат) формирования элементов, а сама технология формирования становится менее управляемой из-за роста отношения случайных геометрических отклонений к размеру самих структур.
В интегральной фотонике, где характерные поперечные размеры элементов составляют несколько сотен нанометров, одной из актуальных задач является создание технологий формирования фотонных интегральных схем с особо низкими оптическими потерями при распространении света -требуется обеспечить уровень потерь менее 0,1 дБ/см [32]. Рассматриваются три основных источника потерь: поглощение, излучение и рассеяние. Применяя передовые методы формирования в сочетании с контролем электрофизических параметров слоёв и подложки, а также методы оптимизации изгибов удаётся значительно снизить потери из-за поглощения и излучения [38, 85]. Таким образом, рассеяние света, вызванное шероховатостью нижних, боковых и верхних поверхностей волноводов, остается основной причиной ослабления света в волноводах. Если методы
уменьшения шероховатости верхних и нижних поверхностей уже достаточно развиты (например, химико-механическая полировка), то уменьшение шероховатости боковых стенок волноводов продолжает оставаться первостепенной технологической задачей [10, 60].
Таким образом, вопросы исследования неровности края особенно актуальны в областях микроэлектронных и фотонных интегральных схем.
Степень разработанности
В рамках исследований неровности края рассматриваются вопросы контроля и моделирования. На современных проектных нормах контроль неровности края в полупроводниковой индустрии выходит за рамки простого измерения размера и формы, становясь одним из ключевых элементов фотолитографии.
С точки зрения моделирования, как правило, выделяют два основных подхода к описанию процесса формирования изображения в слое фоторезиста: компактное полуэмпирическое моделирование и полное физическое моделирование.
Компактное моделирование заключается в построении корреляции между изначальным воздушным изображением (распределением интенсивности экспонирующего излучения в отсутствии фоторезиста) и итоговым профилем в фоторезисте на большом количестве экспериментальных данных для выбранного процесса фотолитографии [5]. Ключевым недостатком данного подхода является то, что входные параметры этих моделей не обладают выраженным физическим смыслом, поэтому требуют калибровки под каждую комбинацию фотолитографических материалов и условий технологического процесса, т.е.
требуют сбора и пересчёта значительного числа параметров, получаемых в ходе производственного цикла. По причине сложности проведения подобных экспериментов на производственном оборудовании, использование компактных моделей невозможно для оценки параметров устройств, которые предполагается реализовать на перспективных технологических процессах.
Другим подходом является полное физическое моделирование, в
рамках которого описываются физико-химические процессы, происходящие на следующих этапах фотолитографии:
1) Сушка после нанесения;
2) Воздушное изображение;
3) Генерация фотокислоты на этапе экспонирования;
4) Процессы реакций и диффузии компонентов фоторезиста на этапе постэкспозиционной сушки [13, 19, 75];
5) Проявление фоторезиста (модель Дилла [22], модель Кима [41], модель Мака [53]).
Недостатком данного подхода является то, что чем детальнее описываются процессы на вышеперечисленных этапах, тем большее количество входных параметров будет требовать полная физическая модель. Иначе говоря, практическое применение таких моделей требует слишком большого числа предварительных экспериментов по определению значение параметров фоторезиста.
Таким образом, хотелось бы иметь модель, достаточно точно описывающую формирование изображение в резисте, но при этом использующую наименьшее количество входных данных. Указанным критериям удовлетворяют модели со смешанными параметрами [11]. Они представляют собой попытку уменьшить число параметров в физической модели без существенного снижения точности полученных результатов по
сравнению с полным моделированием. Поэтому именно модели со смешанными параметрами представляется актуальным применять при разработке новых фоторезистов.
В рамках данной работы рассмотрены определение значений параметров такой модели и дальнейшая калибровка этих параметров на основе экспериментальных данных. Кроме того, проанализированы методы измерения неровности края, выполнен анализ факторов стохастичности при формировании изображения. На основе проведённого анализа, в модель со смешанными параметрами добавлен фактор стохастичности, позволяющий оценить неровность края.
Цель и задачи
Цель диссертационной работы состоит в исследовании процесса возникновения неровности края структур при литографии с использованием фоторезиста с химическим усилением с построением модели физико-химических процессов формирования изображения на этапе разработки нового технологического процесса и оценкой оптических потерь, вызванных рассеянием света на неровности боковых поверхностей в структурах фотоники.
Для достижения поставленной цели решены следующие научно-технические задачи:
1) Определён подход к измерению амплитуды неровности края структур, выполненных по технологии литографии.
2) Проанализированы модели формирования изображения с целью определения перечня параметров модели физико-химических
процессов в плёнке позитивных резистов с химическим усилением при фотолитографии.
3) Исследованы оптические и физико-химические свойства резистов с химическим усилением для определения значений параметров модели формирования изображения при литографии.
4) Проанализированы факторы стохастичности при формировании изображения в резистах с химическим усилением и разработана модель физико-химических процессов при фотолитографии, позволяющую оценить неровность края.
5) Оценены оптические потери, вызванные рассеянием света на неровности боковых поверхностей в волноводных структурах.
Научная новизна
1) Впервые предложена комплексная модель формирования изображения при фотолитографии, позволяющая на этапе разработки нового технологического процесса количественно оценивать неровность края формируемых структур и определять уровень оптических потерь, связанных с рассеянием света на неровности боковых поверхностей пассивных элементов фотонных интегральных схем.
2) Определена и исследована система параметров фоторезистов с химическим усилением (доза начала деблокирования, доза полного проявления, химический контраст, коэффициент корректировки дозовых параметров, коэффициенты диффузии фотокислоты и оснований, скорость нейтрализации и комплексный показатель преломления), характеризующих процессы формирования изображения при литографии и влияющих на неровность края.
3) Обоснован метод расчёта амплитуды неровности края линии, являющийся оптимальным по критерию минимальной вариации
значений амплитуды неровности края линии на снимках, полученных методом растровой электронной микроскопии в одной области пластины, основанный на вычислении среднеквадратичного отклонения последовательно рассматриваемых точек.
Теоретическая и практическая значимость
С использованием результатов исследования разработаны и утверждены в АО «НИИМЭ» следующие проекты методик:
1) Методика измерения амплитуды неровности края по снимку, полученному методом растровой электронной микроскопии (Приложение А).
2) Методика измерения дефектности по снимку, полученному методом растровой электронной микроскопии перпендикулярно плоскости подложки (Приложение Б).
Практической значимостью созданной в результате исследования модели физико-химических процессов при фотолитографии является её применимость на этапе разработки нового технологического процесса благодаря тому, что она не требует калибровки под каждую комбинацию фотолитографических материалов и условий технологического процесса.
Получены 3 государственных свидетельства на регистрацию ПО для ЭВМ по оценке количественных характеристик неровности краёв по РЭМ-снимкам наноструктур и предсказанию неровности наноструктур на основе распределения засветки фоторезиста.
Полученные в ходе исследования результаты применены в грантах ФСИ 0067786, ФСИ 0072785, РНФ 24-91-18002.
Методология и методы исследования
Для выполнения фотолитографии структур использовался производственный литографический кластер со сканером ASML PAS 5500/750H и треком DNS SK2000W. В части экспериментов фоторезист наносился центрифугированием на установке Cee 200CBX в ручном режиме. Контроль толщины слоёв фоторезиста, а также исследование оптических и физико-химических свойств проводилось с использованием метода спектроскопической эллипсометрии на установке ASET F5x. Снимки сформированных в фоторезисте структур получены с помощью метода растровой электронной микроскопии (HITACHI CD-SEM S-9380). Для анализа экспериментальных данных, моделирования физико-химических процессов формирования профиля в резисте использовался язык программирования Python. Для построения компьютерной модели материала фоторезиста использовалась среда MATLAB. Для расчёта оптических потерь использовался пакет Lumerical FDTD.
Положения, выносимые на защиту
1) Метод определения амплитуды неровности края линии на РЭМ-снимке, выполненном перпендикулярно плоскости подложки, основанный на расчёте среднеквадратичного отклонения последовательно рассматриваемых точек, соответствует минимуму вариации значений при исследовании кадров структур в одной области пластины.
2) Система параметров фоторезистов с химическим усилением, включающая дозу начала деблокирования, дозу полного проявления, химический контраст, коэффициент корректировки дозовых параметров, коэффициенты диффузии фотокислоты и оснований,
скорость нейтрализации и комплексный показатель преломления, позволяющая построить модель физико-химических процессов в плёнке химически усиленного фоторезиста при литографии на этапе разработки нового технологического процесса.
3) Неровность края изображения, формируемого в плёнке химически усиленного фоторезиста в процессе литографии, среди ряда стохастических факторов определяется флуктуацией химического контраста фоторезиста, что свидетельствует о наличии связи между флуктуациями растворимости фоторезиста и концентрациями компонентов, а также молекулярно-массовым распределением полимера в составе композиции.
4) Модель формирования изображения в плёнке химически усиленного фоторезиста при фотолитографии, основанная на предложенной системе параметров и позволяющая количественно оценить неровность края формируемых структур и уровень оптических потерь.
Достоверность
Достоверность полученных данных и выдвигаемых на защиту научных положений обеспечивается использованием современных методов исследования. Результаты моделирования формирования изображения при фотолитографии находятся в хорошем соответствии с экспериментальными данными, полученными для фоторезиста DK1081B6. Результаты работы были доложены на 19 конференциях и представлены в 10 статьях.
Личный вклад
Теоретические и практические результаты были получены автором лично, либо при непосредственном участии автора. Большая часть обработки экспериментальных данных была проделана автором самостоятельно.
Апробация работы
Работа была представлена на выступлениях на всероссийских (14 докладов) и международных конференциях (7 докладов):
1) Шарапов А.А., Баранов Г.В. Сравнительный анализ методов измерения количественных характеристик шероховатости наноразмерных структур. Труды 60-й Всероссийской научной конференции МФТИ, 2017
2) Шарапов А.А., Баранов Г.В. Анализ факторов воздействия на шероховатость топологических структур Si микроэлектроники. Международный форум «Микроэлектроника-2018», 4-я Международная научная конференция «Электронная компонентная база и микроэлектронные модули». Сборник тезисов, 2018
3) Шарапов А.А., Баранов Г.В., Шишлянников А.В., Татаринцев А., Гущин О.П., Руденко К.В. Comparative study of the dependence of line edge roughness of resists patterns on its molecular structure. ICMNE - 2018
4) Шарапов А.А., Баранов Г.В. Сравнительный анализ параметров электронных резистов при проявлении структур Si микроэлектроники. Труды 61-й Всероссийской научной конференции МФТИ, 2018
5) Шарапов А.А., Баранов Г.В. Исследование параметров шероховатости для электронных резистов при проявлении наноразмерных структур Si микроэлектроники. Микроэлектроника и информатика - 2019. 26-я
Всероссийская межвузовская научно-техническая конференция студентов и аспирантов: тезисы докладов
6) Шарапов А.А. Исследование влияния молекулярной структуры электронных резистов на метрологические характеристики поверхностных дефектов структур Si микроэлектроники. Труды 62-й Всероссийской научной конференции МФТИ, 2019
7) Шарапов А.А., Шамин Е.С., Скуратов И.Д., Горнев Е.С. Программный комплекс оптимизации фотолитографического процесса для минимизации эффектов шероховатости боковых поверхностей наноструктур. Постановка и обоснование задачи. Школа молодых учёных «Микроэлектроника 2020». XIII Международная конференция «Кремний - 2020»
8) Шарапов А.А. Анализ факторов, влияющих на неровность края наноразмерных структур полупроводниковой микро- и оптоэлектроники. Микроэлектроника и информатика - 2020. 27-я Всероссийская межвузовская научно-техническая конференция студентов и аспирантов: тезисы докладов, 2020
9) Шарапов А.А. Описание имитационной модели образования наноразмерной неровности края в процессе экспонирования полимерных фоторезистов. Математическое моделирование в материаловедении электронных компонентов (ICM3SEC), 2020
10) Шарапов А.А., Горнев Е.С. Моделирование неровности края наноразмерных структур кремниевой микроэлектроники. Труды 63-й Всероссийской научной конференции МФТИ, 2020
11) Шамин Е.С., Шарапов А.А., Скуратов И.Д., Горнев Е.С. Расчёт фотолитографического окна процесса для управления шероховатостью наноразмерных структур. Международный молодежный научный форум «Ломоносов-2021»
12) Шишлянников А.В., Татаринцев А.А., Шарапов А.А. Влияние температуры проявления на шероховатость края экспонируемых линий в HSQ резисте. Школа молодых учёных «Микроэлектроника 2021»
13) Демидов С.О., Шарапов А.А. Моделирование изгиба кремниевой плавниковой наноструктуры с учётом неровности краёв. Труды 64-й Всероссийской научной конференции МФТИ, 2021
14) Шамин Е.С., Шарапов А.А., Скуратов И.Д., Демидов С.О. Sidewall roughness model for optical losses calculation in photonic integrated circuits. SPbOpen-2022
15) Шарапов А.А., Демидов С.О. Моделирование свойств упругости плавниковых элементов кремниевой наноэлектроники при наличии неровности боковых поверхностей. Школа молодых учёных «Микроэлектроника 2022»
16) Шарапов А.А., Горнев Е.С. Описание подхода к испытанию технологических процессов микроэлектроники, включающего исследование неровности края формируемых структур. Курчатовская МНШ, 2023
17) Шарапов А.А., Горнев Е.С. Исследование факторов возникновения неровности наноструктур и её влияния на характеристики приборов. Школа молодых учёных «Микроэлектроника 2023»
18) Шамин Е.С., Шарапов А.А., Скуратов И.Д., Горнев Е.С. Оптимизации шероховатости боковых стенок топологических элементов. Постановка задачи и подходы к решению. Школа молодых учёных «Микроэлектроника 2023»
19) Литаврин М.В., Шарапов А.А., Шишлянников А.В., Горнев Е.С. Экстракция эмпирических констант экспонирования и постэкспозиционной сушки для фоторезистов с химическим усилением. Школа молодых учёных «Микроэлектроника 2023»
20) Шарапов А.А., Горнев Е.С. Исследование проявления неровностей наноразмерных структур, сформированных при помощи технологий микроэлектронике, с применением машинного зрения и искусственного интеллекта. I Всероссийская школа НЦФМ по искусственному интеллекту и большим данным, 2023
21) Шарапов А.А., Литаврин М.В., Харченко Е.Л., Крылов А.А., Горнев Е.С. Hybrid model of photolithography physicochemical processes for estimation of optical losses in waveguides. SPbOpen-2024
Публикации
Материалы данной диссертации опубликованы в 10 печатных изданиях и журналах, из них 9 - в перечне ВАК, 8 - в журналах перечня МФТИ, 2 -индексируемых Scopus, 1 - Web of Science. Список публикаций:
1) Шарапов А.А., Баранов Г.В. Сравнительный анализ методик оценки количественных характеристик шероховатости наноразмерных структур. Труды Московского физико-технического института (государственного университета), 2018 (ВАК, МФТИ)
2) Шарапов А.А., Баранов Г.В. Анализ факторов воздействия на шероховатость топологических структур Si микроэлектроники. Наноиндустрия, 2019 (ВАК, МФТИ)
3) Шарапов А.А., Шамин Е.С., Скуратов И.Д., Горнев Е.С. Grounds and problem statement for software complex for photolithography optimization for minimization of losses in optical structures of photonic integrated circuits. IOP Conference Series: Materials Science and Engineering, Volume 939. III International Scientific and Practical Conference on Innovations in Engineering and Technology, 2020 (Scopus)
4) Шарапов А.А., Шамин Е.С., Скуратов И.Д., Горнев Е.С. Исследование влияния фотолитографического процесса на шероховатость боковых поверхностей резиста при формировании структур микроэлектроники. Наноиндустрия, 2020 (ВАК, МФТИ)
5) Шишлянников А.В., Татаринцев А.А., Шарапов А.А. Влияние температуры проявления на шероховатость края экспонируемых линий в HSQ-резисте. Наноиндустрия, 2021 (ВАК, МФТИ)
6) Демидов С.О., Шарапов А.А. Моделирование свойств жёсткости наноразмерных плавниковых структур с учётом неровности. Учёные записки Физического факультета МГУ, №4, 2022 (ВАК)
7) Шамин Е.С., Шарапов А.А., Скуратов И.Д., Демидов С.О., Горнев Е.С. Модель шероховатости для расчёта оптических потерь в фотонных интегральных схемах. Научно-технические ведомости СПбГПУ. Физико-математические науки. Т. 15. №№ 3.3 SPb0PEN2022 (ВАК, WoS, Scopus, МФТИ)
8) Шарапов А.А., Демидов С.О. Моделирование свойств упругости плавниковых элементов кремниевой наноэлектроники при наличии неровности боковых поверхностей. Наноиндустрия, 2022 (ВАК, МФТИ)
9) Шарапов А.А., Горнев Е.С. Исследование факторов возникновения неровности наноструктур и её влияния на характеристики приборов. Наноиндустрия, 2023 (ВАК, МФТИ)
10) Литаврин М.В., Шарапов А.А., Шишлянников А.В., Горнев Е.С. Экстракция эмпирических констант экспонирования и постэкспозиционной сушки для фоторезистов с химическим усилением. Наноиндустрия, 2024 (ВАК, МФТИ)
Получены свидетельства о государственной регистрации 3 программ для ЭВМ:
1) Шарапов А.А., Баранов Г.В. Инструмент оценки неровностей краёв наноструктур по их снимкам, полученным с помощью растрового электронного микроскопа (LER&LWR Calculator). Свидетельство о регистрации программы для ЭВМ №2020663814, 02.11.2020. Заявка №2020663106 от 28.10.2020
2) Шарапов А.А. Инструмент для оценки количественных характеристик шероховатости наноструктур по пространственному распределению засветки резиста (LER Simulator). Свидетельство о регистрации программы для ЭВМ №2021662653, 03.08.2021. Заявка №2021661905 от 28.07.2021
3) Шамин Е.С., Шарапов А.А., Скуратов И.Д., Демидов С.О. Инструмент симуляции двумерных неровностей краёв структур, сформированных посредством фотолитографии LERSim. Свидетельство о регистрации программы для ЭВМ №2023616842, 03.04.2023. Заявка №2023611260 от 24.01.2023
Структура и объём работы
Содержание диссертационной работы состоит из списка сокращений, введения, четырёх глав, заключения, списка работ, опубликованных по теме диссертации, списка цитируемой литературы из X наименований и содержит 121 страниц, в том числе 39 рисунков и 1 таблица.
Список сокращений
РЭМ - растровая электронная микроскопия ЭВМ - электронно-вычислительная машина
BARC - нижнее антиотражающее покрытие (bottom layer anti-reflective coating)
CAR - химически усиленный резист (chemically amplified resist)
FEM - матрица фокуса и экспонировании (focus-exposure matrix)
FinFET -полевой транзистор с вертикальным затвором (fin field-effect transistor)
LER - амплитуда неровности края линии (line edge roughness) LPM - модель со смешанными параметрами (lumped parameter model) PEB - постэкспозиционная сушка (post exposure baking) PAG - фотогенератор кислоты (photoacid generator)
Глава 1. Неровность структур в микроэлектронике
В данной главе представлен обзор исследований неровности края структур, формируемых с помощью технологий интегральной микроэлектроники. Исследования в данной области удобно разделить по трём направлениям: количественное описание неровности края, изучение вопросов, связанных с образованием неровности края в процессе формирования структур, а также исследование свойств структур и характеристик приборов на их основе при наличии неровности края.
1.1 Измерение неровности края структур
Первое направление исследований в области неровности - измерение. Неровность края структуры (2-мерная метрика) по своей сути является проявлением шероховатости боковой поверхности (3-мерного объекта). В соответствии с таким представлением можно выделить две группы методов изучения микрогеометрических отклонений: методы измерения шероховатости поверхности с последующей оценкой неровности края как сечения получаемого рельефа поверхности, и «прямые» методы измерения неровности края.
Первая группа представляет собой методы исследовании трёхмерной топографии поверхности наноструктур. Самым распространённым среди них является атомно-силовая микроскопия в полуконтактном режиме работы [1, 21, 70]. Регистрация изменений амплитуды при приближении вибрирующего кантилевера к поверхности исследуемого образца позволяет построить профиль поверхности [2]. Для того чтобы обеспечить взаимодействие зонда именно с боковой поверхностью образца, выполняют либо скол вдоль исследуемой линейной структуры, либо наклон образца [31, 24, 105].
Кроме того, для исследования топографии поверхности используются такие методы, как оптическая скаттерометрия, позволяющая по картине дифракции от периодических наноструктур восстанавливать путём решения обратной задачи трёхмерный рельеф поверхности, включая неровность края [15, 27, 28]. Переход от ксенона к дейтерию в качестве источника излучения позволяет применять метод оптической скаттерометрии вплоть до технологии «22 нм». Для измерения геометрии структур более низких проектных норм применяется метод анализа картин малоуглового рассеяния рентгеновских лучей [39, 94, 80].
Похожие диссертационные работы по специальности «Другие cпециальности», 00.00.00 шифр ВАК
Исследование физических основ построения рельефа в фоторезистивной маске с разработкой компактной литографической модели2023 год, кандидат наук Харченко Екатерина Леонидовна
Измерение топографии двулучепреломления в кристаллах флюорита и исследование его влияния на качество изображения проекционных фотолитографических систем2016 год, кандидат наук Никулина Екатерина Алексеевна
Влияние природы полимерной матрицы, фоточувствительного генератора кислоты и физических факторов на литографические свойства химически усиленных фоторезистов2012 год, кандидат химических наук Джонс, Михаил Михайлович
Лазерные литографические системы и технологии синтеза рельефно-фазовых оптических элементов2013 год, кандидат наук Корольков, Виктор Павлович
Анализ и оптимизация фотолитографических процессов при флуктуации параметров фоторезистной пленки1998 год, кандидат технических наук Гайнуллина, Наталья Романовна
Список литературы диссертационного исследования кандидат наук Шарапов Андрей Анатольевич, 2024 год
Список литературы
1. Быков В.А. Приборы и методы сканирующей зондовой микроскопии для исследования и модификации поверхностей: диссертация на соискание ученой степени доктора технических наук. - 2000. - 402 с.
2. Быков В.А., Лазарев М.И., Саунин С.А. Сканирующая зондовая микроскопия для науки и промышленности. // Электроника: наука, технология, бизнес. - 1997. - № 5. - С. 7-14.
3. Горнев Е.С. Методы обеспечения надёжности современных СБИС // Математическое моделирование в материаловедении электронных компонентов (19-20 октября 2020 г.), М.: Материалы II Международной конференции. - С. 13-21.
4. Полонянкин Д.А. и др. Теоретические основы растровой электронной микроскопии и энергодисперсионного анализа наноматериалов : учеб. пособие. - Омск : Изд-во ОмГТУ, 2019. - 116 с.
5. Харченко Е.Л., Соколова Е.В., Горнев Е.С. Развитие и применение компактных моделей фоторезистивной маски // Наноиндустрия. - 2023. - Т. 16, № S9-2 (119). - C. 508-511.
6. Якухина А.В., Горелов Д.В., Кадочкин А.С., Генералов С.С., Амеличев В.В., Светухин В.В. Исследование влияния шероховатости боковых стенок световодного слоя из Si3N4 различной толщины на оптические потери в интегральном волноводе, сформированном на кварцевой подложке // Наноиндустрия. - 2020. - Т. 13, № 7-8 (101). - С. 450-457.
7. Якухина А.В., Платонов В.В., Горелов Д.В., Амеличев В.В., Светухин В.В. Современные конструктивно-технологические методы создания интегральных оптических логических элементов // Фотоника. - 2022. -Т. 16, № 8. - С. 604-611.
8. Alblas D. Implementing and analysing the fast marching method // University of Twente. - 2018. - 20 c.
9. Anderson C.N., Naulleau P.P. Do not always blame the photons: relationships between deprotection blur, line-edge roughness, and shot noise in extreme ultraviolet photoresists // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena. - 2009. - T. 27, № 2. - C. 665-670.
10. Buzaverov K. et al. Low-loss silicon nitride photonic ICs for near-infrared wavelength bandwidth // Opt. Express. - 2023. - T. 31. - C. 16227-16242.
11. Byers J.D., Smith M.D., Mack C.A. Lumped Parameter Model for Chemically Amplified Resists // Proc. SPIE, Optical Microlithography XVII.
- 2004. - T. 5377. - C. 1462-1474.
12. Byers J.D., Smith M.D., Mack C.A. 3D lumped parameter model for lithographic simulations // Optical Microlithography XV. - 2002. - T. 4691.
- C. 125-137.
13. Capodieci L. et al. Novel postexposure bake simulator: First results // J. Vac. Sci. Technol. B. - 1995. - T. 13 (6). - C. 2963-2967.
14. Chaudhary N., Savari S.A., Yeddulapalli S.S. Line roughness estimation and Poisson denoising in scanning electron microscope images using deep learning // J. Micro/Nanolith. MEMS MOEMS. - 2019. - T. 18(2). - 024001.
15. Chen X. et al. Nondestructive analysis of lithographic patterns with natural line edge roughness from Mueller matrix ellipsometric data // Applied Surface Science. - 2016. - T. 388. - C. 524-530.
16. Cobb J.L. et al. Controlling line-edge roughness to within reasonable limits. // Advances in Resist Technology and Processing XX. - 2003. - T. 5039. -C. 376-383.
17. Constantoudis V. Line-edge-roughness transfer during plasma etching: modeling approaches and comparison with experimental results // Journal of Micro/Nanolithography, MEMS, and MOEMS. - 2009. - T. 8(4). - 043004.
18. Constantoudis V. et al. Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptors // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. - 2003. - Т. 21(3). - 1019.
19. Croffie E. Moving Boundary Models and Methods for Deep Submicron Resist Process Simulation [Электронный ресурс]. - URL: http://www2.eecs.berkeley.edu/Pubs/TechRpts/1999/ERL-99-26.pdf (дата обращения: 24.04.2024)
20. De Bisschop P. How to make lithography patterns print: the role of OPC and pattern layout // Advanced Optical Technologies. - 2015. - Т. 4. - №. 4. -С. 253-284.
21. De Oliveira R.R.L. et al. Measurement of the Nanoscale Roughness by Atomic Force Microscopy: Basic Principles and Applications // Atomic Force Microscopy - Imaging, Measuring and Manipulating Surfaces at the Atomic Scale. - 2012.
22. Dill F. H. et al. Modeling projection printing of positive photoresists // IEEE Transactions on Electron Devices. - 1975. - Т. 22. - №. 7. - С. 456-464.
23. Finders J. et al. DUV Lithography (KrF) for 130 nm using off-axis illumination and assisting features // Semicon Japan. - 1999. - Т. 99.
24. Fouchier M., Pargon E., Bardet B. An atomic force microscopy-based method for line edge roughness measurement // Journal of Applied Physics.
- 2013. - Т. 113(10). - 104903.
25. Fractilia Computational Metrology [Электронный ресурс]. - URL: https://www.fractilia.com/ (дата обращения: 24.04.2024)
26. Fursenko O. et al. Characterization of Si nanowaveguide line edge roughness and its effect on light transmission // Materials Science and Engineering: B.
- 2012. - Т. 177(10). - С. 750-755.
27. Gross H. et al. Modeling of line roughness and its impact on the diffraction intensities and the reconstructed critical dimensions in scatterometry // Applied Optics. - 2012. - Т. 51, № 30. - С. 7384-7394.
28. Herrero F. et al. Analysis of line-edge roughness using EUV scatterometry // Nanomanufacturing and Metrology. - 2022. - Т. 5(2). - С. 149-158.
29. Holler M. et al. High-resolution non-destructive 3D-imaging of integrated circuits // Nature. - 2017. - Т. 543(7645). - C. 402-406.
30. Hu Z. et al. Canny Algorithm Enabling Precise Offline Line Edge Roughness Acquisition in High-Resolution Lithography // ACS omega. - 2023. - Т. 8(4). - 3992-7.
31. Hussain M.M., Gondran C.F.H., Michelson D.K. Atomic force microscope study of three-dimensional nanostructure sidewalls // Nanotechnology. -2007. - Т. 18(33). - 335303.
32. IPSR-I Integrated Photonic Systems Roadmap 2020 [Электронный ресурс]. - URL: https://photonicsmanufacturing.org/sites/default/files/ documents/front-end_siph3_0.pdf (дата обращения: 24.04.2024)
33. Ito H., Wilson C.G. Chemical Amplification in the Design of Dry Developing Resist Materials // Technical Papers of SPE Regional Technical Conference on Photopolymers. - 1982. - С. 331-353.
34. Ito H., Wilson C.G., Frechet J.M.J. New UV Resists with Negative or Positive Tone // Digest of Technical Papers of 1982 Symposium on VLSI Technology. - C. 86-87.
35. ITRS. International technology roadmap for semiconductors 2015 [Электронный ресурс]. - URL: https://www.semiconductors.org/wp-content/uploads/2018/06/0_2015-ITRS-2.0-Executive-Report- 1.pdf (дата обращения: 24.04.2024)
36. Jakatdar N.H. et al. Characterization of a chemically amplified photoresist for simulation using a modified "poor man's DRM" methodology //
Metrology, Inspection, and Process Control for Microlithography XII. -1998. - Т. 3332. - С. 578-585.
37. Jeong U. et al. Edge roughness analysis in nanoscale for single-molecule localization microscopy images // Nanophotonics. - 2024. - Т. 13, № 2. -С. 195-207.
38. Ji X. et al. Ultra-low-loss on-chip resonators with sub-milliwatt parametric oscillation threshold // Optica. - 2017. - Т. 4(6) - C. 619-624.
39. Jones R.L. et al. Characterization of line edge roughness using CD SAXS // Metrology, Inspection, and Process Control for Microlithography XX. -2006. - Т. 6152. - С. 215-222.
40. Kang W.B. et al. Bottom Anti-Reflective Coatings for DUV Lithography //Journal of Photopolymer Science and Technology. - 1997. - Т. 10. - №. 3.
- С. 471-477.
41. Kim D.J., Oldham W.G., Neureuther A.R. Development of positive photoresist // IEEE Transactions on Electron Devices. - 1984. - Т. 31 (12).
- С. 1730-1736.
42. Kim K. et al. Process capability analysis of DUV alternating PSM and DUV attenuated PSM lithography for 100-nm gate fabrication // Optical Microlithography XIII. - 2000. - Т. 4000. - С. 132-148.
43. Kirchauer H. Photolithography simulation: диссертация на соискание ученой степени доктора технических наук / Heinrich Kirchauer. - Вена, 1998. - 221 с.
44. Koccay D. et al. LER and spacing variability on BEOL TDDB using E-field mapping: Impact of field acceleration // Microelectronics Reliability. - 2017.
- Т. 76. - C. 131-135.
45. Lee J.-Y. et al. Effect of line edge roughness (LER) and line width roughness (LWR) on Sub-100 nm Device Performance // Proc. of SPIE. - 2004. -Т. 5376. - C. 426-433.
46. Levi S. et al. Edge roughness characterization of advanced patterning processes using power spectral density analysis (PSD) // Proc. of SPIE. -2016. - T. 9782. - 97820I-1-9.
47. Li D. et al. Noise filtering for accurate measurement of line edge roughness and critical dimension from SEM images // Journal of Vacuum Science & Technology B. - 2016. - T. 34 (6). - 06K604.
48. Lin Q. et al. Does line-edge roughness matter?: FEOL and BEOL perspectives // Advances in Resist Technology and Processing XX. - 2003. - T. 5039. - C. 1076-1085.
49. Liu B., Yang X., Zhu J. Variations of single event transient induced by line edge roughness (LER) and temperature in FinFET // Microelectronics Journal. - 2024. - T. 144. - 106063.
50. Lorusso G. F. et al. Unbiased roughness measurements: Subtracting out SEM effects // Microelectronic Engineering. - 2018. - T. 190. - C. 33-37.
51. Mack C.A. Fundamental Principles of Optical Lithography: The Science of Microfabrication // John Wiley & Sons. - 2007. - C. 223-293.
52. Mack C.A. A simple model of line-edge roughness // Future Fab International. - 2010. - T. 34. - C. 64-76.
53. Mack C.A. Development of positive photoresists // Journal of the Electrochemical Society. - 1987. - T. 134 (1). - C. 148-152.
54. Mack C.A. et al. Resist metrology for lithography simulation, part I: exposure parameter measurements // Metrology, Inspection, and Process Control for Microlithography X. - 1996. - T. 2725. - C. 34-48.
55. Mack C.A. Impact of mask roughness on wafer line-edge roughness // Photomask Technology. - 2009. - T. 7488. - C. 654-660.
56. Mack C.A. Line Edge Roughness, part 1 // Microlithography World, The Lithography Expert. - 2007.
57. Mack C.A. Shot noise: a 100-year history, with applications to lithography // J. Micro/Nanolith. MEMS MOEMS. - 2018. - Т. 17(4). - 041002.
58. Mandelbrot B.B. The fractal geometry of nature // W. H. Freeman and co. -Сан-Франциско, 1982.
59. McCallum M. et al. Alternating PSM mask performance: a study of multiple fabrication technique results // Optical Microlithography XIV. - 2001. -Т. 4346. - С. 723-728.
60. Melati D. et al. Real photonic waveguides: guiding light through imperfections // Adv. Opt. Photon. - 2014. - Т. 6. - C. 156-224.
61. Mininni L. et al. Advances in CD-AFM scan algorithm technology enable improved CD metrology // Metrology, Inspection, and Process Control for Microlithography XXI. - 2007. - Т. 6518. - С. 1216-1225.
62. Mochi I. et al. Open-source software for SEM metrology // Photomask Technology. - 2020. - Т. 11518. - C. 58-67.
63. Mukherjee M. et al. The problem of optimal placement of sub-resolution assist features (SRAF) // Optical Microlithography XVIII. - 2005. - Т. 5754. - С. 1417-1429.
64. Mulkens J. et al. Benefits and limitations of immersion lithography //Journal of Micro/Nanolithography, MEMS and MOEMS. - 2004. - Т. 3, №. 1. -С. 104-114.
65. nanoLER - Nanometrisis [Электронный ресурс]. - URL: http://www.nanometrisis.com/products-services/nanoler/ (дата обращения: 24.04.2024)
66. Nelson-Thomas C.M., Palmateer S.C., Lyszczarz T.M. Metrology methods for the quantification of edge roughness // Metrology, Inspection, and Process Control for Microlithography XII. - 1998. - Т. 3332. - С. 19-29 .
67. Oldiges P., Murthy C. Examination of spatial frequency dependence of line edge roughness on MOS device characteristics // Simulation of Semiconductor Processes and Devices. - 2004. - C. 239-242.
68. Orji N.G. et al. Metrology for the next generation of semiconductor devices // Nature Electronics. - 2018.- T. 1(10). - C. 532-547.
69. Orji N.G. Spectral analysis of line edge and line width roughness using wavelets // Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV. - 2021. - T. 11611. - 1161116
70. Orji N.G. et al. Line edge roughness metrology using atomic force microscopes // Measurement Science and Technology. - 2005. - T. 16(11). - C. 2147-2154.
71. Osaki M. et al. Quantification of three-dimensional pattern-shape variation with CD-SEM top-down image // J of Micro/Nanopatterning, Materials, and Metrology. - 2023. - T. 22(2). - 021008.
72. Owa S., Nagasaka H. Immersion lithography: its potential performance and issues // Optical Microlithography XVI. - 2003. - T. 5040. - C. 724-733.
73. Patsis G.P. et al. Simulation of material and processing effects on photoresist line-edge roughness // International Journal of Computational Science and Engineering. - 2006. - T. 2(3/4). - C. 134.
74. Patsis G.P., Gogolides E. Effects of model polymer chain architectures of photo-resists on line-edge-roughness: Monte Carlo simulations // Journal of Physics: Conference Series. - 2005. - T. 10, № 1. - C. 389.
75. Petersen J.S. et al. Characterization and modeling of a positive-acting chemically amplified resist // Advances in Resist Technology and Processing XII. - 1995. - T. 2438. - C. 153-166.
76. Rathore, R.S., Rana, A.K. Impact of line edge roughness on the performance of 14-nm FinFET: Device-circuit Co-design // Superlattices and Microstructures. - 2018. - T. 113. - C. 213-227.
77. Reynolds G.W., Taylor J.W. Correlation of atomic force microscopy sidewall roughness measurements with scanning electron microscopy line-edge roughness measurements on chemically amplified resists exposed by x-ray lithography // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. - 1999. - T. 17(6). - C. 2723.
78. Rice B.J. et al. Effects of processing parameters on line-width roughness // Advances in Resist Technology and Processing XX. - 2003. - T. 5039. - C 384-392.
79. Rudolph O.H. Rigorous electromagnetic field simulation of the impact of photomask line-edge and line-width roughness on lithographic processes // Journal of Micro/Nanolithography, MEMS, and MOEMS. - 2012. -T. 11(1). - 013004.
80. Salami Z. et al. Identifying the type of line edge roughness using grazing-incidence x-ray fluorescence // Modeling Aspects in Optical Metrology VIII.
- 2021. - T. 11783. - C. 28-35.
81. Sandstrom T., Rydberg C. Sources and scaling laws for LER and LWR // Optical Microlithography XX. - 2007. - T. 6520. - 65200X.
82. Sardo S. et al. Line edge roughness (LER) reduction strategy for SOI waveguides fabrication // Microelectronic Engineering. - 2008. -T. 85(5-6). - C. 1210-1213.
83. Shang H. et al. Investigation for Sidewall Roughness Caused Optical Scattering Loss of Silicon-on-Insulator Waveguides with Confocal Laser Scanning Microscopy // Coatings. - 2020. - T. 10(3). - C. 236:1-10.
84. Siefke T. et al. Line-edge roughness as a challenge for high-performance wire grid polarizers in the far ultraviolet and beyond // Opt. Express. - 2018.
- T. 26. - C. 19534-19547.
85. Song J. H. et al. Low-Loss Waveguide Bends by Advanced Shape for Photonic Integrated Circuits // J. Lightwave Technol. - 2020. - Т. 38(12). -C. 3273-3279.
86. Sosnina A., Rogozhin A., Miakonkikh A. Atomic force microscopy for line edge roughness measurements. - 2013. - Т. 8700. - 870010.
87. Sperling E. Variation issues grow wider and deeper. Semiconductor Engineering. [Электронный ресурс]. - URL: https://semiengineering.com/variation-issues-grow-wider-and-deeper/ (дата обращения: 24.04.2024).
88. Sun I., Jeong H. Optimal method for correct measurement of Line-edge roughness // 6th International Conference on New Trends in Information Science, Service Science and Data Mining (ISSDM2012). - 2012. -С. 112-115.
89. Sun L. et al. Line edge roughness frequency analysis during pattern transfer in semiconductor fabrication // Journal of Micro/Nanolithography, MEMS, and MOEMS. - 2015. - Т. 14(3). - 033501.
90. Sun W. et al. A multi-resolution approach for line-edge roughness detection // Microelectronic Engineering. - 2009. - Т. 86(3). - С. 340-351.
91. Tanabe H. et al. LER transfer from a mask to wafers // Photomask and Next-Generation Lithography Mask Technology XIV. - 2007. - Т. 6607. -66071H.
92. Totzeck M. et al. Pushing deep ultraviolet lithography to its limits // Nature Photon. - 2007. - Т. 1. - С. 629-631.
93. Vanleenhove A., Steenwinckel D. A litho-only approach to double patterning // Optical Microlithography XX. - 2007. - Т. 6520. - 65202F.
94. Wang C. et al. Characterization of correlated line edge roughness of nanoscale line gratings using small angle X-ray scattering // J. Appl. Phys. -2007. - Т. 102 (2). - 024901.
95. Wang F., Li N., Zhao X. Multiscale characterization method for line edge roughness based on redundant second generation wavelet transform // Journal of Applied Physics. - 2010. - T. 108(8).
96. Wang J. et al. Optimization of rule-based OPC fragmentation to improve wafer image rippling // 31st European Mask and Lithography Conference. -2015. - T. 9661. - C. 79-94.
97. Wang X. et al. Statistical variability and reliability in nanoscale FinFETs // 2011 International Electron Devices Meeting. - 2011. - C. 5.4.1-5.4.4,
98. Wilkins C.W. et al. Preliminary Evaluation of Methyl Methacrylate and Acyloximino Methacrylate as Deep U.V. Resists // J. Electrochem. - 1980. - T. 127. - C. 2510-2513.
99. Wilson C.G. et al. Design of a Positive Resist for Projection Lithography in the Mid-UV // Polym. Eng Sci. - 1983. - T. 23. - C. 1004-1011.
100. Wilson C.G. et al. New Diazoketone Dissolution Inhibitors for Deep U.V. Photolithography // Proc. SPIE. - 1987. - T. 771. - C. 2-10.
101. Wu W. et al. Line-edge roughness induced single event transient variation in SOI FinFETs // Journal of Semiconductors. - 2015. - T. 36(11). - 114001.
102. Xie P. et al. Understanding device impact of line edge/width roughness in frequency domain // Design for Manufacturability through Design-Process Integration VII. - 2013. - 86840J.
103. Xiong S. et al. Gate line edge roughness effects in 50-nm bulk MOSFET devices // Metrology, Inspection, and Process Control for Microlithography XVI. - 2002. - T. 4689. - C. 733-741.
104. Yakuhina A. et al. Investigation of Side Wall Roughness Effect on Optical Losses in a Multimode Si3N4 Waveguide Formed on a Quartz Substrate // Photonics. - 2020. - T. 7, № 4. - 104.
105. Yazgi S.G. et al. Line edge roughness metrology software // J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. - 2020. - T. 38. - 012602.
106. Zhu Z. et al. High-fidelity lithography // China Semiconductor Technology International Conference. - 2015. - C. 1-4.
Приложение А. Утверждённый проект методики измерения амплитуды неровности края по РЭМ-снимку
АКЦИОНЕРНОЕ ОБЩЕСТВО «НАУЧНО-ИССЛЕДОВАТЕЛЬСКИЙ ИНСТИТУТ МОЛЕКУЛЯРНОЙ ЭЛЕКТРОНИКИ» (АО «НИИМЭ»)
Утверждаю
Заместитель генерального директора по модернизации и внедрению микросхем -
Проект
Методика измерения амплитуды неровности края по снимку, полученному методом растровой электронной микроскопии
Москва 2024
1 Область применения
Настоящий документ устанавливает методику измерений амплитуды неровности края линейных объектов по их снимку, полученному методом растровой электронной микроскопии.
Измеряемой величиной по методике измерений является амплитуда неровности края, единица измерений - нм.
Методика позволяет проводить измерения неровности края исследуемых структур в диапазоне от 1,0 до 10 нм.
Результаты измерений, полученные по методике, используются для оценивания качества сформированных структур типа «одиночная линия» или «линия-промежуток» в маске фоторезиста, приборных слоях и слоях металлизации в результате выполнения технологических операций на микроэлектронном производстве.
2 Нормативные ссылки
В настоящем документе приведены ссылки на следующие нормативные документы:
- ГОСТ Р ИСО 5725-(1-6)-2002 «Точность (правильность и прецизионность) методов и результатов измерений»;
- РМГ 61-2010 «Государственная система обеспечения единства измерений. Показатели точности, правильности, прецизионности методик количественного химического анализа. Методы оценки»;
- РМГ 76-2014 «Государственная система обеспечения единства измерений. Внутренний контроль качества результатов количественного химического анализа»;
- ПМГ 96-2009 «Государственная система обеспечения единства измерений. Результаты и характеристики качества измерений. Формы представления»;
- ИПБ №000 Инструкция о мерах пожарной безопасности в АО «НИИМЭ».
2
3 Сокращения и наименования. Термины и определения
• ПО - программное обеспечение;
• нм - нанометр;
• пкс - пиксель;
• Фильтр Собеля - алгоритм обнаружения границ при обработке изображений, который работает путём вычисления градиента яркости в каждой точке изображения, определяя области с высокой пространственной частотой, соответствующие краям объектов;
• Кластер - группа точек на изображении, которые соответствуют одному краю структуры.
4 Требования к показателям точности измерения
При соблюдении всех регламентированных условий и проведении анализа (измерения) в точном соответствии с данной методикой значение характеристики погрешности (и её составляющих) результатов измерений при вероятности Р = 0,95 не превышает значений, приведённых в таблице 1.
Таблица 1 - Диапазон измерений, значения характеристики погрешности и ее составляющих_
Диапазон измерений амплитуды неровности края, нм От 1,0 до 5,0 включит. От 5,0 до 10,0 включит.
Показатель точности (границы абсолютной погрешности результата измерений при доверительной вероятности Р=0,95), А, нм 0,5 1,0
5. Средства измерений, вспомогательное оборудование
5.1 Средства измерений
Для проведения измерений амплитуды неровности края по настоящей методике используется персональный компьютер с установленным интерпретатором языка программирования Python версии не ниже 3.8.0, позволяющим подключить следующий набор библиотек:
3
• numpy>=1.23.5;
• opencv-py thon>=4.1.1;
• Pillow>=9.4.0;
• skikit-leam>=0.24.0.
Снимок структуры, использующийся в качестве входных данных для проведения измерений амплитуды неровности края по настоящей методике, создаётся с помощью растрового электронного микроскопа регистрационный номер № 91010-24 по приказу Федерального агентства по техническому регулированию и метрологии от «16» января 2024 г. № 67. Методика также может быть применена для измерений по снимкам, полученным с помощью других поверенных растровых электронных микроскопов.
6 Метод измерений
Измерение амплитуды неровности края структур по их снимку, полученному методом растровой электронной микроскопии, выполняется путём анализа кадра при помощи ПО, реализующего коррекцию поворота снимка, выделения границ объектов и расчёт среднеквадратичного поперечного отклонения координат точек края от среднего положения.
7 Требования безопасности, охраны окружающей среды
При выполнении работ должны быть соблюдены меры противопожарной безопасности согласно ИПБ №000.
8 Требования к квалификации операторов
К самостоятельному выполнению измерений по настоящей методике допускаются лица с высшим образованием в области естественных или технических наук, затрагивающим вопросы анализа изображений и статистического анализа, имеющим опыт работы с библиотеками языка программирования Python из п.5.1, изучившие настоящую методику измерений,
4
прошедшие инструктаж по технике безопасности на рабочем месте, имеющие опыт работы с изображениями растрового электронного микроскопа не менее 3 месяцев.
Операторы, не имеющие требуемого опыта работы, допускаются к выполнению измерений после проведения стажировки, включающей участие в выполнении не менее 10 рабочих измерений по настоящей методике.
9 Требования к условиям измерений
При подготовке к выполнению измерений и при их проведении
необходимо соблюдать условия:
- минимальное разрешение кадра изображения, нм 1,0;
- максимальная вариация освещённости по кадру, % 10;
- минимальная контрастность изображения, % 70;
- минимальное соотношение сигнал/шум по кадру 5:1;
- минимальный поперечный размер структур, нм 5;
- соотношение увеличений по осям кадра 1:1;
- минимальный размер кадра по одной из осей, пкс 640;
- минимальное количество краёв структур на кадре 1.
10 Подготовка к выполнению измерений
10.1 Подготовка кадра:
- с помощью библиотеки openpwl считать снимок из интересующей ячейки файла Excel, сформированного ПО растрового электронного микроскопа;
- из строки технической информации, расположенной внизу кадра, определить величину пересчёта 1 пкс в нм;
- с помощью библиотеки 'pillow' обрезать изображение по границам кадра, удалив строку технической информации;
- убедиться в соответствии изображения требованиям согласно пункту 9.
5
12 Обработка результатов измерений
12.1 За результат измерения принимают стандартное отклонение горизонтальной координаты от среднего значения, вычисляемое по формуле (2), если выполняется условие (3)
х = к^ъ-*)2
\ N
где - значения поперечной координаты точек из данного кластера,
х - среднее значение поперечных координат точек для данного
кластере,
N - число точек края в кластере.
с = 1, (3)
где с - число обнаруженных кластеров; 12.2 Если условие (3) не выполняется, за результат измерения принимают квадратный корень из полусуммы квадратов двух слагаемых:
- среднего значения амплитуд неровности, рассчитанной по формуле (2) отдельно для левых краёв структур;
- среднего значения амплитуд неровности, рассчитанной по формуле (2) отдельно для правых краёв структур.
13 Оформление результатов измерений
Результат измерения в документах, предусматривающих его использование, представляют в виде выражения (4)
Х±А,Р=0,95 (4)
где X - среднее арифметическое значение результатов измерений амплитуды неровности края по кадру, нм;
Д - границы абсолютной погрешности по Таблице 1, нм.
Приложение Б. Утверждённый проект методики измерения дефектности по РЭМ-снимку
1 Область применения
Настоящий документ устанавливает методику измерений дефектности структур по их снимку, полученному методом растровой электронной микроскопии перпендикулярно плоскости подложки.
Измеряемой величиной по методике измерений является количество дефектов, единица измерений - штуки.
Методика позволяет проводить измерения дефектности исследуемых структур в диапазоне от 0 до 10 штук.
Результаты измерений, полученные по методике, используются для оценивания качества сформированных структур в маске фоторезиста, приборных слоях и слоях металлизации в результате выполнения технологических операций на микроэлектронном производстве по технологиям «180 нм», «90 нм» и «28 нм».
Подразделения-пользователи документа: ОПФШ.
2 Нормативные ссылки
В настоящем документе приведены ссылки на следующие нормативные документы:
- ГОСТ Р ИСО 5725-(1-6)-2002 «Точность (правильность и прецизионность) методов и результатов измерений»;
- ПМГ 96-2009 «Государственная система обеспечения единства измерений. Результаты и характеристики качества измерений. Формы представления».
3 Сокращения и наименования. Термины и определения
• ПО - программное обеспечение
4 Требования к показателям точности измерения
При соблюдении всех регламентированных условий и проведении анализа (измерения) в точном соответствии с данной методикой значение характеристики погрешности результатов измерений при вероятности Р = 0,95 не превышает значений, приведённых в таблице 1.
Таблица 1 - Диапазон измерений, значения характеристики погрешности
Диапазон измерений дефектности От 0 до 5 включит. От 5 до 10 включит.
Показатель точности (границы абсолютной погрешности результата измерений при доверительной вероятности .Р=0,95), Д, шт. 1 2
5 Средства измерений, вспомогательное оборудование
Для проведения измерений дефектности по настоящей методике используется персональный компьютер с установленным интерпретатором языка программирования Python версии не ниже 3.8.0, позволяющим подключить следующий набор библиотек (после знака >= указана минимальная версия соответствующего пакета):
• gitpython>=3.1.30;
• matplotlib>=3.3;
• numpy>=1.23.5;
• opencv-py thon>=4.1.1;
• Pillow>=9.4.0;
• Psutil;
• PyYAML>=5.3.1;
• requests>=2.23.0;
• scipy >=1.4.1;
• thop>=0.1.1;
• torch>=1.8.0;
• torchvision>=0.9.0;
• tqdm>=4.64.0;
• ultraly tic s>=8.0.23 2;
• pandas>=1.1.4;
• seaborn>=0.11.0;
• setuptools>=65.5.1;
• wheel>=0.38.0
3
6 Метод измерений
Измерение дефектности структур по их снимку, полученному методом растровой электронной микроскопии перпендикулярно плоскости подложки, выполняется путём анализа кадра при помощи ПО, реализующего коррекцию поворота снимка, выделения границ объектов, расчёт среднеквадратичного поперечного отклонения координат точек края от среднего положения и выделение дефектов на кадре.
7 Требования безопасности, охраны окружающей среды
При выполнении работ должны быть соблюдены меры противопожарной безопасности согласно ИПБ №000.
8 Требования к квалификации операторов
К самостоятельному выполнению измерений по настоящей методике допускаются лица с высшим образованием в области естественных или технических наук, затрагивающим вопросы анализа изображений и статистического анализа, изучившие настоящую методику измерений, прошедшие инструктаж по технике безопасности на рабочем месте, имеющие опыт работы с изображениями растрового электронного микроскопа не менее 3 месяцев.
Операторы, не имеющие требуемого опыта работы, допускаются к выполнению измерений после проведения стажировки, включающей участие в выполнении не менее 10 рабочих измерений по настоящей методике.
9 Требования к условиям измерений
9.1 При подготовке к выполнению измерений и при их проведении необходимо соблюдать условия:
4
- максимальный размер кадра изображения по обеим осям, пкс 1024;
- минимальное разрешение кадра изображения, нм
- максимальная вариация освещённости по кадру, %
- минимальная контрастность изображения, %
- минимальное соотношение сигнал/шум по кадру
- минимальный поперечный размер структур, нм
- соотношение увеличений по осям кадра
- минимальное количество краёв структур на кадре
1,0; Ю; 70; 5:1
5; 1:1; 1;
9.2 Компьютер, на котором выполняется обработка кадров, должен обладать установленным ПО для анализа изображений.
10 Подготовка к выполнению измерений
10.1 Определить максимально допустимую величину среднего значения амплитуды неровности края по кадру в соответствии с требованиями использующейся технологии (как правило, не более 10% от критического размера структуры).
10.2 Подготовка кадра:
- из строки технической информации, расположенной внизу кадра, определить величину пересчёта 1 пкс в нм;
- обрезать изображение по границам кадра, удалив строку технической информации;
- убедиться в соответствии изображения требованиям;
- подготовить директорию для обработки изображений, внутри которой через терминал можно будет запустить код по обработке изображений так, чтобы она имела следующую структуру:
---detect, ру
---export.ру
---inference.py
---models
---test
I--images
---image_l.jpg
---image_2.jpg
---image 3.jpg
---utils
|---model_weights.pt
Рис. 1 Структура корневой директории для обработки изображений дефектов.
Пользовательская директория содержит 3 изображения, для которых необходимо получить предсказание: ima«e_l..jpg . 'image_2.jpg', image_3.jpg'
Внутри данной директории располагаются папки models' и utils4, а также файлы ' export, ру' и inference, ру . Это вспомогательные папки и файлы, необходимые для работы основной программы. Не рекомендуется изменять их содержимое для корректной работы основной программы.
Список необходимых файлов и директорий, с которыми будет работать пользователь:
• файл 'model_weights.pt' - файл с весами нейросетевой модели, используемой для детектирования дефектов фоторезистивной маски.
• файл ' detect.ру' - программа, запускаемая пользователем для получения предсказаний на изображениях
• директория 'test' - папка с изображениями с растрового электронного микроскопа, для которых необходимо получить предсказание. Папка с изображениями должна быть подгружена самим пользователем, и обязательно должна иметь вложенную структуру, отражённую на
рис. 1, т.е. файлы изображений должны находиться внутри папки с названием 'images'.
11 Выполнение измерений
11.1 Подготовить структуру корневой директории для обработки изображений.
11.2 Запустить терминал по адресу корневой директории для обработки поиска дефектов в фоторезистивной маске. В терминале
6
необходимо запустить программу 'detect.py', указав через ключи обязательные аргументы:
Susername: python detect.py —weights 'model_weights.pt' --source 'test' --project 'inference_result' \ —conf 0.5 --save-txt -irags- 640
Рис. 2 Пример запуска программы для получения предсказаний модели При запуске программы через ключи указывается следующий набор аргументов:
• 'weights' - обязательный аргумент; путь до файла с весами нейросетевой модели;
• 'source' - обязательный аргумент; путь до директории с пользовательскими изображениями;
• 'project" - обязательный аргумент; путь до директории, в которую будут сохраняться результаты предсказаний;
• 'conf - необязательный аргумент; пороговое значение вероятности предсказания; предсказания модели, у которых вероятность ниже задаваемого порогового значения, будут отклонены и не появятся на итоговом изображении; рекомендуется установить порог равный 0.5; по умолчанию идёт 0.25;
• '--save-txt' - необязательный аргумент; позволяет сохранять предсказания модели детектора не только в виде изображений, но также в виде \csvs файла;
• 'imgsz' - обязательный аргумент; размер подаваемого на вход изображения
В результате выполнения программы в корневой директории появится новая папка "inference_result", имеющая вложенную структуру в соответствии с рис. 3. Внутри подпапки 'ехр' будут содержаться изображения, на которых отображаются ограничительные рамки для дефектов вместе с категорией обнаруженного дефекта. Кроме того, в подпайке 'labels' будут доступны таблицы в виде файлов с расширением '.csv', где для каждого изображения
будет доступна информации о количестве и типе найденных дефектов, а также координаты ограничительных рамок для каждого найденного дефекта.
— шГегепсе
'--ехр
---image_l.jpg
---image_2.jpg
---image_3.jpg
'---ЫЬеЬ
--¡1ГШ£е_1.С8У
--тм£е_2.ску
--т^е_З.СБУ
Рис.3 Структура папки ¡гП'егтпсс гс^ии . появляющейся после выполнения программы Чк'ичЧ.ру в терминале корневой директории
11.3 Применить к изображению фильтр Собеля для распознавания краёв структур;
11.4 Применить метод кластеризации к-средних так, чтобы точки одного края были сгруппированы в один кластер;
11.5 Выполнить поворот изображения так, чтобы каждый кластер был ориентирован вертикально;
11.6 Определить среднее значение горизонтальной координаты для каждого кластера.
11.7 Рассчитать среднее значение амплитуды неровности края А по
кадру:
11.7.1 По формуле (1), если выполняется условие (2)
А = \
N
(1)
где - значения поперечной координаты точек из данного кластера,
х - среднее значение поперечных координат точек для данного
кластера,
N - число точек края в кластере.
с = 1
(2)
где с - число обнаруженных кластеров;
11.7.2 Если условие (2) не выполняется, то в качестве А принимают квадратный корень из полусуммы квадратов двух слагаемых:
- среднего значения амплитуд неровности, рассчитанной по формуле (1) отдельно для левых краёв структур;
- среднего значения амплитуд неровности, рассчитанной по формуле (1) отдельно для правых краёв структур.
12 Обработка результатов измерений
За результат измерения принимают следующую сумму:
где
Д^гея ~~ максимально допустимая величина среднего значения амплитуды неровности края по кадру,
К - общее число кластеров или измерений среднеквадратичных отклонений,
I - индикаторная функция, принимающая значение равное 1, если выполняется условие в её аргументе, и 0 в противном случае,
- количество дефектов, найденных с помощью нейросетевой
13 Оформление результатов измерений
Результат измерения в документах, предусматривающих его использование, представляют в виде выражения (4)
Х = 1 [А > Д№гех] + /V,
йе/
(3)
модели.
Х±А, Р=0,95
(4)
где X - количество дефектов на кадре, шт.;
Д - границы абсолютной погрешности по Таблице 1, шт.
14 Контроль качества результатов измерений
14.1. Контроль качества результатов измерений при использовании методики в лаборатории предусматривает согласно РМГ 76:
- оперативный контроль процедуры анализа (измерений);
- контроль стабильности результатов анализа (измерений).
14.2. Оперативный контроль процедуры анализа проводят в случаях, предусмотренных п. 4.13 РМГ 76.
14.3. Процедуры контроля стабильности регламентируют во внутренних документах лаборатории.
Согласовано ■ Начальник ОПФШ
А.Л. Панкратов
У К и 717 - Гляёньш
ЛАвтрелог
Ра^раЪогал ■
Научный сотрудник УРПТН
_ А.А. Шарапов Инженер-программист ОПФШ А.Ф. Московцев
Смал Заместитель
I ! -
Ведущий инженер-конструктор ОПИ
ш
Ж
. Е.Л. Харченко начальника ОПФШ В.В. Иванов
ю
Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.