Метод и алгоритм обработки данных на основе идентификаторов в специализированном вычислительном устройстве тема диссертации и автореферата по ВАК РФ 05.13.05, кандидат наук Алшаиа Хайдер Яхья Атоун

  • Алшаиа Хайдер Яхья Атоун
  • кандидат науккандидат наук
  • 2021, ФГБОУ ВО «Юго-Западный государственный университет»
  • Специальность ВАК РФ05.13.05
  • Количество страниц 138
Алшаиа Хайдер Яхья Атоун. Метод и алгоритм обработки данных на основе идентификаторов в специализированном вычислительном устройстве: дис. кандидат наук: 05.13.05 - Элементы и устройства вычислительной техники и систем управления. ФГБОУ ВО «Юго-Западный государственный университет». 2021. 138 с.

Оглавление диссертации кандидат наук Алшаиа Хайдер Яхья Атоун

Введение

1 Проблемы обработки данных на основе идентификаторов в современных информационных системах

1.1 Особенности формирования и обработки информации на основе идентификаторов

1.2 Математическое и алгоритмическое обеспечение систем обработки сообщений

1.3 Известные технические решения, направленные на сокращение затрат при обработке сообщений и их идентификаторов

1.4 Особенности реализации процедур обработки данных на основе идентификаторов в условиях ограниченного размера единичного сообщения

1.5 Анализ подходов к повышению производительности устройств обработки данных на основе идентификаторов

1.6 Выводы по разделу

2 Разработка методов и алгоритмов обработки сообщений ограниченного размера

2.1 Формальное представление процедуры определения источника сообщений на основе ограниченного размера служебных данных

2.1.1 Организация взаимодействия двух устройств, обменивающихся сообщениями ограниченной длины

2.1.2 Влияние ошибок на особенности буферизации памяти и на скорость обработки сообщений

2.1.3 Особенности буферизации сообщений

2.2 Особенности реализации аппаратной обработки данных на основе идентификаторов

2.2.1 Формирование цепочек сообщений одного множества в приёмнике

2.2.2 Организация буферной памяти приёмника, обеспечивающая повышение скорости построения цепочек сообщений

2.2.3 Ограничение числа вычислительных модулей и блоков памяти при множеств сообщений

2.2.4 Формализация метода обработки данных на основе идентификаторов

2.3 Синтез алгоритма управления статусами множеств сообщений

2.3.1 Описание алгоритма управления статусами множеств сообщений

2.3.2 Описание алгоритма обработки входящих сообщений

2.3.2.1 Варианты реализации алгоритма обработки сообщений

2.3.2.2 Итерационный алгоритм формирования цепочек сообщений

2.3.2.3 Рекурсивный алгоритм формирования цепочек сообщений

2.4 Описание алгоритма кодирования данных сообщения короткой длины

2.4.1 Общие принципы обработки сообщений

2.4.2 Основные этапы кодирования сообщений

2.4.3 Алгоритм необратимого преобразования

2.4.4 Используемый алгоритм обратимого преобразования данных

2.5 Выводы по разделу

3 Исследование характеристик алгоритма обработки данных на основе идентификаторов

3.1 Постановка задачи

3.2 Исследование вероятности ошибки определения принадлежности сообщения целевому множеству

3.3 Исследование затрат памяти для реализации метода определения источника сообщений

3.4 Влияние типа алгоритма для формирования цепочек сообщений на требуемый размер памяти приёмника

3.5 Исследование вычислительной сложности алгоритма формирования цепочек сообщений

3.6 Выводы по разделу

4 Разработка структурно-функциональной организации специализированного устройства обработки данных на основе идентификаторов

4.1 Основные функции устройства обработки данных на основе идентификаторов и принципы его структурной организации

4.2 Структурная организация специализированного устройства управления обработки данных на основе идентификаторов

4.3 Описание функциональных схем отдельных блоков специализированного устройства управления обработки данных на основе идентификаторов

4.3.1 Функциональная схема блока предобработки сообщений

4.3.2 Функциональная схема блока буферизации сообщений

4.3.3 Описание организации памяти адресов сообщений

4.3.4 Описание блока анализа сообщений

4.3.5 Описание микропрограммного устройства управления

4.4 Оценка характеристик разработанного специализированного устройства управления обработки данных на основе идентификаторов

4.4.1 Реализация специализированного устройства управления обработки данных на основе идентификаторов с помощью системы автоматического проектирования

4.4.2 Оценка быстродействия разработанного специализированного устройства обработки данных на основе идентификаторов

4.5 Выводы по разделу

Основные результаты работы

Список литературы

ПРИЛОЖЕНИЕ А

ПРИЛОЖЕНИЕ Б

Рекомендованный список диссертаций по специальности «Элементы и устройства вычислительной техники и систем управления», 05.13.05 шифр ВАК

Введение диссертации (часть автореферата) на тему «Метод и алгоритм обработки данных на основе идентификаторов в специализированном вычислительном устройстве»

Введение

Актуальность темы исследования. Создание объединённых в сложные иерархические комплексы специализированных устройств мониторинга и управления технологическими процессами, оценки и анализа состояний технических систем является одним из перспективных направлений развития средств вычислительной техники и систем управления. Характерными их чертами являются: разброс скоростей передачи данных, динамический характер процессов обмена между адресатами, наличие изменяющихся параметров в передаваемых сообщениях, сложность алгоритмов анализа и разбора их структуры и ограниченный размер самих сообщений (в диапазоне от нескольких байтов до нескольких десятков байтов). Всё это обусловливает необходимость создания специализированных методов, алгоритмов и средств аппаратной обработки таких сообщений, которые обладают преимуществом перед программными способами решения указанных выше задач с точки зрения производительности оконечного вычислительного оборудования и его аппаратной сложности. На основе входящих в состав принимаемых сообщений кодовых последовательностей - идентификаторов - приёмные, коммутирующие и ретранслирующие устройства (например, беспроводные микроконтроллеры, объединённые в распределённую информационно-управляющую систему) формируют непересекающиеся множества сообщений для их последующей ретрансляции, передачи на обработку и т.п. в соответствии с определёнными для каждого такого множества правилами.

Корректность обработки поступающих данных в части выделения из общей информационно-управляющей последовательности наборов сообщений, относящихся к одному адресату, является важным аспектом обеспечения правильности функционирования как вычислительных, информационных и управляющих систем в целом, так и отдельных их компонентов. Ошибки, возникающие в том числе и из-за ограниченности

размеров цифровых последовательностей, содержащих в себе информацию об источниках и приемниках сообщений, нарушают работоспособность оконечных устройств и приводят к снижению их производительности из-за необходимости переспросов обработанных с ошибками данных.

В основе создания средств аппаратной обработки данных на основе идентификаторов лежит необходимость обеспечения требуемой достоверности обработки, высокой производительности разрабатываемых устройств и их приемлемой аппаратной сложности, так как они являются частью оконечного оборудования приема и обработки потока сообщений.

Степень разработанности темы исследования. Созданию различных алгоритмов, технических решений и устройств для обработки сообщений посвящены работы Гурина О. Д. Ёкокава Т. Маллади Д. Бухарина В. В. Горохова А. В основе их методов и проектируемых устройств лежит отнесение сообщений множествам на основе результатов обработки идентификаторов, введённых в состав сообщений. Вопросы разработки и применения процессоров общего назначения и специализированных процессоров, в том числе для задач обработки и анализа идентификаторов сообщений, отражены в трудах В.В. Корнеева, А.В. Киселева, И. В.Кривченко, В. В. Гребнева, Н.И. Витиски. Тем не менее, выделение из сообщений и обработка идентификаторов ограниченной длины как ведущий замысел исследования, влияющий на производительность устройств, не рассматривались в этих трудах. Методам обработки объединённых в группы сообщений ограниченной длины посвящены работы М. Белла (M.Bellare), В. Сталлингса (W. Stallings), (M. Dworkin), Д. Блэка (J. Black), Р. Мишры (R. Mishra), С. Шарма (S. Sharma), Б. Отмана (B. Othman).

Анализ литературы показал, что существующие алгоритмы обработки данных на основе идентификаторов обладают либо недостаточной достоверностью при ограниченном размере идентификатора (алгоритмы, основанные на анализе каждого отдельного сообщения), либо высокой вычислительной сложностью (алгоритмы анализа групп сообщений). Таким

образом, актуальной является научно-техническая задача разработки метода и алгоритмов определения принадлежности групп сообщений адресатам, ориентированных на высокую скорость выполнения при их аппаратной реализации на микроконтроллерах и ПЛИС.

Объект исследования: элементы и устройства обработки данных на основе идентификаторов.

Предмет исследования: методы и алгоритмы определения принадлежности сообщений независимым адресатам.

Цель работы. Целью диссертационной работы является повышение производительности устройств обработки данных, представленных в виде сообщений ограниченной длины.

Задачи исследований:

1. Проведение аналитического обзора современных методов, алгоритмов и технических решений обработки данных на основе их идентификаторов.

2. Создание метода обработки данных на основе идентификаторов.

3. Разработка алгоритмов обработки данных и отнесения групп сообщений целевому множеству на основе идентификаторов.

4. Разработка вычислительного специализированного устройства обработки данных на основе идентификаторов.

5. Оценка вычислительной сложности алгоритма обработки данных на основе идентификаторов и схемотехнической сложности и производительности специализированного вычислительного устройства, его реализующего.

Новыми научными результатами и положениями, выносимыми на защиту, являются:

1. Метод обработки данных на основе идентификаторов, основанный на формировании групп сообщений и проверки для таких сформированных групп условий принадлежности их конкретному множеству сообщений, отличающийся исключением из анализа по результатам обработки

содержимого поступающего сообщения части множеств, позволяющий снизить аппаратную сложность специализированного вычислительного устройства обработки данных на основе идентификаторов и повысить его производительность.

2. Алгоритм формирования цепочек сообщений, основанный на выполнении операций сравнения идентификатора сообщения с предварительно сформированными кодовыми последовательностями, характеризующими предыдущее и последующее сообщения в цепочке сообщений, отличающийся порядком добавления сообщений в формируемые цепочки и проверкой условия возникновения ошибки после каждой сформированной цепочки, позволяющий уменьшить число задействованных при его реализации блоков регистровой памяти специализированного вычислительного устройства обработки данных.

3. Созданные на основе аппарата теории вероятности и теории случайных процессов математические модели определения принадлежности сообщения множеству, отличающиеся представлением записи описателей буферизированных сообщений в регистровой памяти устройства как линейного динамического процесса, позволившие определить целесообразные характеристики синтезируемого специализированного вычислительного устройства обработки данных на основе идентификаторов.

4. Структурно-функциональная организация специализированного вычислительного устройства обработки данных на основе идентификаторов, отличающаяся параллельным выполнением операций предобработки и анализа входящих сообщений в независимых асинхронно работающих блоках, позволяющая повысить производительность устройства обработки данных на основе идентификаторов за счёт уменьшения числа и длительности выполняемых операций при определении принадлежности группы сообщений целевому множеству.

Достоверность результатов диссертационной работы обеспечивается корректным и обоснованным применением методов проектирования

цифровых устройств, аппарата математической логики, положений и методов теории вероятностей, теории случайных процессов и математической статистики, а также подтверждается имитационным моделированием с использованием разработанного программного обеспечения.

Практическая ценность результатов исследований:

1. Разработан алгоритм управления статусами множеств сообщений, основанный на отказе от проверки принадлежности сообщений неактивному множеству, отличающийся проверкой порядкового номера сообщения в группе сообщений неактивного множества и его обработке только в случае если номер не превышает определённое для каждого множества значение, меньшее длины формируемой цепочки, что позволяет снизить аппаратную сложность специализированного вычислительного устройства обработки данных на основе идентификаторов за счёт того, что число независимых вычислительных блоков устройства, выполняющих процедуры обработки сообщений, меньше числа анализируемых множеств сообщений.

2. Созданный алгоритм формирования цепочек сообщений, отличающий рекурсивным вызовом процедуры сравнения описателя текущего сообщения, позволяет за счёт изменения порядка добавления сообщения в цепочку и завершения работы после выполнения условия возникновения ошибки сократить в каждом вычислительном блоке специализированного вычислительного устройства обработки данных на основе идентификаторов на 30% число регистров, требуемых для хранения адресов сообщений, за счет досрочного прекращения формирования цепочки сообщений с общим адресатом.

3. На основе разработанной математической модели записи идентификаторов сообщений во внутренней регистровой памяти специализированного вычислительного устройства обработки данных, отличающейся представлением процедур формирования и анализа логически связанных структур сообщений в виде случайного процесса, определено

целесообразное соотношение 2:1 между числом строк и столбцов матричной регистровой памяти, хранящей адреса буферизированных сообщений, что за счёт сокращения числа вариантов формирования цепочек сообщений сделало линейной вычислительную сложность алгоритма формирования цепочек сообщений в диапазоне вероятности возникновения ошибки обработки данных от 0 до 0,15.

4. Разработанная структурно-функциональная организация специализированного вычислительного устройства обработки данных на основе идентификаторов, позволяет за счёт снижения числа декодирований сообщений и реализации декодирования в отдельном структурном блоке, повысить число операций обработки сообщений в единицу времени на 15 -35 %.

Результаты полученных в диссертации теоретических и прикладных и экспериментальных исследований используются в ООО «Щит-СБ» и учебном процессе Юго-Западного государственного университета при обучении студентов по направлениям 10.04.01 «Информационная безопасность» (дисциплины «Математическое моделирование технических объектов и систем управления), 10.03.01 «Информационная безопасность» (дисциплина «Проектирование защищенных автоматизированных систем») и 10.05.02 «Информационная безопасность телекоммуникационных систем» (дисциплина «Проектирование защищённых телекоммуникационных систем»).

Соответствие диссертации паспорту научной специальности

В соответствии с п. 1 формулы научной специальности 05.13.05 -Элементы и устройства вычислительной техники и систем управления в диссертации содержатся результаты разработки специализированного вычислительного устройства для решения задачи обработки данных на основе идентификаторов.

В соответствии с п. 2 формулы научной специальности в диссертации проводились теоретический анализ и экспериментальные исследования достоверности процедур обработки данных на основе идентификаторов,

реализуемые специализированными вычислительными устройствами, с целью снижения числа выполняемых вычислительными блоками устройства операций и уменьшению размеров задействованной регистровой памяти.

Методология и методы исследования. Исследования проведены с применением основ цифровой схемотехники и разработки цифровых устройств, теории вероятностей и математической статистики, теории случайных процессов, конструирования средств вычислительной техники, аналитического конструирования. Экспериментальные исследования выполнены с использованием методов математического и имитационного моделирования, технологий объектно-ориентированного программирования.

Апробация работы. Результаты и научные положения диссертационной работы докладывались и обсуждались на следующих всероссийских и международных научных конференциях: Международная научно-техническая конференция «Инфокоммуникации и космические технологии: состояние, проблемы и пути решения» (г. Курск, 2019, 2020, 2021); Всероссийская научно-техническая конференция «Фундаментальные проблемы информационной безопасности в условиях цифровой трансформации» (г. Ставрополь, 2019); Всероссийская научно-техническая конференция для молодых ученых и студентов с международным участием (г. Пенза, 2021); Международная научно-техническая конференция «RusAutoCon» (г. Сочи, 2019, 2020), Международная конференция ISCAU -2020 (г. Эн-Насирия, Ирак, 2020).

Публикации. По теме диссертационной работы опубликовано 18 научных работ, в том числе: 5 статей в научных рецензируемых изданиях, входящих в перечень ВАК; 5 статей баз данных Web Of Science и Scopus; 9 докладов на международных и всероссийских конференциях; получено одно свидетельство на программный продукт

Личный вклад автора в получение результатов, изложенных в диссертационной работе. Все результаты диссертационной работы, в том числе постановка задач, разработка и исследование защищаемых метода,

моделей и алгоритмов и структурно - функциональные схемы, основные научные результаты, выводы и рекомендации, принадлежат автору лично. В научных работах, выполненных в соавторстве, личный вклад соискателя состоит в следующем: разработаны алгоритмы обработки групп сообщений [91, 101, 102, 103], разработаны принципы организации буферной памяти устройства обработки данных [81, 114, 115], метод определения принадлежности сообщения множеству [69, 70, 74, 75, 100], разработаны модели оценки достоверности метода обработки данных на основе идентификаторов [80, 130].

Структура диссертации. Диссертация общим объемом 138 страниц состоит из введения, четырёх глав и заключения, содержит 110 страниц основного текста, перечень используемой научно-технической литературы из 139 наименований на 17 страницах, приложений на 11 страницах, 32 рисунков и 1 таблицы.

1 Проблемы обработки данных на основе идентификаторов в современных информационных системах.

1.1 Особенности формирования и обработки информации на основе идентификаторов

Тенденцией развития технологий создания информационных систем является переход к использованию подвижных устройств, их образующих, вместо широко распространённых ранее систем, в которых элементы и узлы не изменяли своего расположения во время эксплуатации. При этом в качестве коммутационной среды для таких систем всё чаще выступают каналы связи, организованные по принципу «общий канал с разделением по времени» [1]. Это требование диктуется как унификацией приёмопередающих модулей, методов, алгоритмов и протоколов их работы, так и более простым процессом развёртывания, эксплуатации, обнаружения и устранения неисправностей в информационных системах [2, 3]. В общем случае взаимодействие устройств современных информационных систем происходит через подвижное приёмопередающее устройство, которое осуществляет связь с несколькими аналогичными устройствами, получая от них или передавая им команды или данные [4].

Традиционным методом управления работой удалённого устройства осуществляется через канал связи, при котором узел, отвечающий за управление всеми или частью аппаратных компонент информационной системы, транслирует по общему каналу индивидуальные пакеты команд или иную управляющую информацию [5]. При этом каждое приёмопередающее устройство, работая в режиме приёмника, может принимать указанные блоки информации, извлекать из них управляющую информацию и действовать исходя из полученных команд [6]. На практике ситуация осложняется ещё и в случае множественности источников управляющей и иной информации, когда общий канал связи используется как единственная среда переда всех

данных, циркулирующих в информационной системе. То есть единое множество сообщений, передаваемых по общему каналу, состоит из множеств сообщений от независимых источников. При этом отдельные его компоненты могут в произвольном порядке чередоваться друг с другом и, в общем случае, предназначаться множеству различных независимых приёмников [7]. Примером таких систем могут быть современные беспроводные сенсорные сети [8, 9], устройства, объединённые по технологии «интернета вещей» (1оТ-устройства) [10, 11] и иные распределённые информационные и управляющие системы [12]. Функциональное назначение самих устройств, формирующих и обрабатывающих информацию в таких системах, может быть различным: это могут быть устройства-датчики для измерения параметров среды и технологических процессов, разнообразные исполнительные устройства, совмещённые или интегрированные в управляемый ими технический объект управления или устройства двойного назначения, реализующие как функции сбора информации, так и управления [13]. Отсюда очевидно, что задача разделения поступающих сообщений, формирования из них множеств с уникальными для каждого множества правилами обработки в общем случае может быть достаточно сложной и обладать множеством аспектов. Подобное разделение осуществляется, обычно, на основе входящих в состав каждого сообщения кодовых последовательностей - идентификаторов, позволяющих в результате их обработки и сформировать из них указанные непересекающиеся множества для дальнейшей обработки. При этом корректность обработки входной информации напрямую влияет на правильность функционирования таких удалённых аппаратных компонент информационных систем.

Несмотря на широкое использование, описанный выше принцип управления работой индивидуальных аппаратных компонент информационных систем обладает существенным недостатком. Все устройства, определённые как приёмники управляющей информации,

должны выполнять операции, во-первых, по выделению из всего множества сообщений управляющей информации, а также определению типа входного потока данных, его источника и прочих атрибутов, которые позволяют устройству правильным образом обработать такой набор сообщений. При этом подобные операции должны выполняться всеми приёмниками одновременно. Реализация их программным способом, с помощью микроконтроллеров и микропроцессоров [14 - 17] сопряжена с повышенными требования к производительности вычислительных узлов общего назначения, что в условиях ограничений по массогабаритных характеристикам, потребляемой мощности и цене конечного изделия для целевого класса устройств делает такой способ обработки данных непригодным. Соответственно, актуальной является разработка методов, алгоритмов, технических решений, направленных на сокращение в приёмниках вычислительных затрат на организацию процедур обработки и данных на основе идентификаторов.

1.2 Математическое и алгоритмическое обеспечение систем обработки сообщений

Для обработки входящих данных в удалённых приёмниках предлагается использовать помехоустойчивое кодирование с комбинацией методов анализа априорных характеристик сообщений [18]. Рассматриваемый алгоритм ориентирован на самый сложный вариант организации распределенных систем: без наличия обратного канала связи от приёмника к источнику, по которому передаётся квитанция об обработке набора сообщений. В основе метода лежит необходимость исчисляемого по результатам анализа канала связи многократного повторения передаваемых сообщений. Это снижает вероятность ошибки разделения поступающих сообщений на множества сообщений до уровня 10- , но при этом может значительно снизить пропускную способность канала связи и повысить

время обработки сообщений (из-за необходимости фиксировать повторения элементов данных).

Метод, ориентированный на предсказание появления сообщения от источника, описан в [19]. Использование статистического кодирования позволяет формировать сообщения, которые могут опознаваться приёмником с определяемой схемой передачи достоверностью. Но при этом в его основе лежит необходимость перебора различных вариантов битовых карт сообщений для нахождения соответствий между априорными характеристиками получаемого потока сообщений и наблюдаемыми. При этом автором не исследован вопрос влияния множества источников сообщений друг на друга.

Формальная теория обработки множеств сообщений, характеристики которых либо неопределенны, либо определены частично, приведена в работе [20]. Так как любое множество сообщений, несущих определённую информацию, имеет какие-либо отличающие его от другого множества характеристики, то использование положений этой теории может быть использовано для организации средств обработки сообщений. В основе обработки недоопределённых данных лежит их доопределение - процесс сопоставления характеристик сообщений некоторому заранее определённому алфавиту характеристик. При этом код сообщения (минимально возможной длины) и содержащегося в нём идентификатора должен позволять доопределить его. Иными словами, отнесение сообщения одному из фиксированных множеств происходит, исходя из результата их декодирования на некотором алфавите идентификаторов множеств. В работе приводится формальное доказательство возможности доопределения (отнесения сообщения множеств) на основании результатов декодирования.

Следующий метод обработки сообщений предусматривает неизвестность источником реальных статистических характеристик сообщений [21]. Эта ситуация ближе к реальным условиям передачи, так как само по себе формирование статистике о сообщений даст требуемую

точность лишь при большом числе наблюдений [22], которое обусловлено возможностью широкого разброса наблюдаемых характеристик потоков сообщений в современных телекоммуникационных сетях [23]. Автором теоретически обоснована требуемая для достижения целевой достоверности информационная избыточность кодеров, в то же время рассматриваемая в настоящей работе основная целевая характеристика приёмников - скорость обработки сообщений во многом может зависеть от типов используемых кодеров и схемотехнических решений, их реализующих, а не только от числа элементарных операций.

В работе [24] автором описана формальная модель выделения атрибутов информационного блока для его последующей обработки. В основе определения атрибутов лежит анализ результата выполнения хеш-функций над содержимым обрабатываемого блока данных. При этом результат хеширования зависит от идентификатора канала связи, который выбирается приёмником из динамически формируемой таблицы. Метод ориентирован на обеспечение аутентичности сообщений [25,26], но реализованный в нём формальный аппарат принятия решений о сообщении позволяет сформулировать общие принципы их обработки, заключающиеся в придании сообщению путём кодирования некоторых свойств, проверяемых приёмником при его декодировании.

Из обзора известных алгоритмически решений можно сделать вывод о том, что в основе методов предобработки и разделения сообщений на множества, ориентированных ан высокую производительность, а не достоверность, должны лежать типовые операции кодирования информационных частей передаваемых сообщений и некоторых дополнительных идентификаторов, добавляемых к каждому сообщению для ассоциирования его с конкретным множеством сообщений.

1.3 Известные технические решения, направленные на сокращение затрат при обработке сообщений и их идентификаторов

Если рассмотреть класс информационных систем с ограниченным размером передаваемого сообщения, то размер полей служебной информации должен быть минимальным, чтобы сократить информационную избыточность и повысить пропускную способность канала связи [27, 28]. В таких системах все затраты, связанные с необходимостью хранения и обработки дополнительного объёма служебных данных должны быть сокращены, особенно для систем с большим числом взаимодействующих между собой источников и приемников информации.

Известно решение для организации схем сетевого обмена данными между произвольным количеством устройств, взаимодействующих по протоколам с невысокой пропускной способностью, например, устройств интернета вещей [29]. Отнесение каждого обрабатываемого сообщения к определённому множеству происходит за счёт кодирования каждого пакета в паре взаимодействующих устройств на основе некоторой ключевой последовательности, ассоциированной с данной парой. Техническим результатом является возможность достоверного разделения сообщений на непересекающиеся множества в каждом устройстве за счёт применения кодирования, которое обеспечивает также невозможность обработки неправильно декодированных сообщений устройствами. В то же время основным его недостатком является то, что достоверность зависит от размера Н дополнительных служебных полей (идентификатора взаимодействующей пары устройств). При этом вероятность совпадения двух идентификаторов определится как:

^ = 2- н (1.1)

Кроме того, кодирование, используемое для изоляции множеств сообщений, само по себе не повышает указанную достоверность их разделения. В отличие от изобретения для управления обработкой данных в

Похожие диссертационные работы по специальности «Элементы и устройства вычислительной техники и систем управления», 05.13.05 шифр ВАК

Список литературы диссертационного исследования кандидат наук Алшаиа Хайдер Яхья Атоун, 2021 год

Список литературы

1. Чернецова Е.А. Системы и сети передачи информации. Часть 1. Телекоммуникационные сети. - СПб.: РГГМУ, 2013. - 244.

2. Merschbrock, Christoph and Munkvold, Bj0m Erik A Research Review on Building Information Modeling in Construction—An Area Ripe for IS Research // Communications of the Association for Information Systems: Vol. 31 , Article 10. DOI: 10.17705/1CAIS.03110.

3. Penttilä, H. Describing the changes in architectural information technology to understand design complexity and free-form architectural expression. Journal of Information Technology in Construction, 11(29), pp. 395408.

4. Бахвалов Л. А., Цацорин Е. А. Управление потоками данных в кластерных информационных системах //Горный информационно -аналитический бюллетень (научно-технический журнал). 2014. № 6. С. 331335.

5. Heikki Halttula, Harri Haapasalo, Risto Silvola. Managing data flows in infrastructure projects - the lifecycle process model // Journal of Information Technology in Construction March 2020 ( 25) pp. 193-211 DOI: 10.36680/j.itcon.2020.012.

6. Егорова Т. А., Муравьева-Витковская Л. А., Ли Шицзя. Анализ процессов приоритетного управления потоками данных в программных системах // Изв. вузов. Приборостроение. 2019. Т. 62, № 3. С. 208—211.

7. Фрейман, В.И. Изучение систем передачи с многократным повторением и обратной связью при помощи моделирования в среде MATLAB / В.И. Фрейман, В.А. Савиных // Вестник Пермского национального исследовательского политехнического университета. Электротехника, информационные технологии, системы управления. - 2011. - № 5. - С. 271-275.

8. Лихтциндер Б. Я. Беспроводные сенсорные сети. Учебное пособие для вузов/ Лихтциндер Б. Я., Киричек Р. Ва., Федотов Е. Д., Голубничая Е. Ю., Кочуров А. А. - М.: Горячая линия-Телеком, 2020. - 236 с. ISBN-978-5-9912-0822-2.

9. Битнер, В.И. Сети нового поколения - NGN. Учебное пособие для вузов / В.И. Битнер, Ц.Ц. Михайлова. - М.: Горячая линия-Телеком, 2011. - 226.

10. Кранц М. Интернет вещей. Новая технологическая революция. -М.: Бомбора, 2018. - 336 с. ISBN- 978-5-04-090627-7.

11. Перри Л. Архитектура интернета вещей. - М.: ДМК Пресс, 2018. - 454 с. ISBN- 978-5-97060-672-8.

12. Ключев А. О. Распределенные информационно-управляющие системы. Учебное пособие. / А. О. Ключев, П. В. Кустарев, А. Е. Платунов. -СПб.: Университет ИТМО, 2015. - 58 с.

13. Котов В. Н. Полезная модель 89257 G06F 15/00. Распределенная информационно-управляющая система на основе интеллектуальных датчиков [Текст] / В. Н. Котов, Э. В. Мельник, И. П. Щербинин, Я. С.Коровин; заявл. 2009.09.14, опубл. 2009.11.27.

14. Корнеев В. В., Киселев А. В. Современные микропроцессоры. 3-е издание - СПб.: БХВ-Петербург, 2003. - 448 с. ISBN- 5-94157-385-5.

15. Кривченко, И. Перспективные микроконтроллеры AVR компании Atmel / И. Кривченко, А. Рыжаков // Компоненты и технологии. - 2015. - № 5(166). - С. 61-66.

16. Гребнев, В. В. 16-разрядные микроконтроллеры с Flash-памятью и функцией DSP фирмы Infineon (семейство ХС166) / В.В. Гребнев. - М.: РадиоСофт, 2008. - 528 с.

17. Витиска, Н. И. Микропрограммный принцип отображения алгоритмов решения сложных физических задач на машинах с реконфигурируемой мультимикроконвейерной вычислительной структурой / Н. И. Витиска, Д. В. Задорожний, В. И. Шмойлов // Вестник Воронежского

государственного технического университета. - 2009. - Т. 5. - № 5. - С. 196200.

18. Мальцев Г.Н. Кодирование сообщений в системах радиоуправления без обратного информационного канала // Мальцев Г.Н., Чернявский Е.В. Информационно-управляющие системы. 2011. № 4 (53). С. 60-65.

19. Гузеев А.В. Формирование распределения вероятностей появления отдельных сообщений источника при статистическом кодировании // T-Comm: Телекоммуникации и транспорт. 2010. Т. 4. № 6. С. 12-16.

20. Шоломов Л.А. Элементы теории недоопределенной информации // Прикладная дискретная математика. Приложение. 2009. № 2. С. 18-42.

21. Трофимов В.К. Равномерное по выходу кодирование дискретных стационарных источников сообщений с неизвестной статистикой // Вестник Томского государственного университета. Управление, вычислительная техника и информатика. 2011. № 1 (14). С. 55-63.

22. Колмогоров, А. Н., Фомин, С. В. Элементы теории функций и функционального анализа - М.: Наука, 1976. - 544 с.

23. Муравьева-Витковская Л. А. Оценка структурных параметров маршрутизатора при приоритетном управлении неоднородным трафиком с произвольным распределением длин пакетов // Изв. вузов. Приборостроение. 2017. Т. 60, № 10. С. 951—956.

24. Колегов Д.Н. Общий метод аутентификации HTTP-сообщений в веб-приложениях на основе хеш-функций // Прикладная дискретная математика. Приложение. 2014. № 7. С. 85-89.

25. Таныгин М.О. Методы аутентификации устройств защиты информации и управляющих программных средств [Текст] / М. О. Таныгин, А. П. Типикин // Телекоммуникации - 2005. - № 9 - С. 37-42.

26. Tanygin M. O. , Tipikin A. P. Methods of authentication of information protection systems and controlling software // Telecommunications and Radio Engineering. 2007. Vol. 66, № 5, P. 453-463.

27. Мальчуков А.Н., Осокин А.Н. Система автоматизированного проектирования кодеков помехоустойчивых кодов короткой длины // Известия Томского политехнического университета. 2008. Т. 312. № 5. С. 7075.

28. Мыцко Е.А Исследование алгоритмов вычисления контрольной суммы CRC8 в микропроцессорных системах при дефиците ресурсов / Е.А. Мыцко, А.Н. Мальчуков, С.Д. Иванов // Приборы и системы. Управление, контроль, диагностика. 2018. № 6. С. 22-29..

29. Гурин О. Д. Патент 2695487 Российская Федерация G06F17/00. Способ и система обеспечения взаимодействия устройств интернета вещей [Текст] / Гурин Олег Дмитриевич; заявл 2018.09.26, опубл. 2019.07.23.

30. Ёкокава Т., Патент 2494538 Российская Федерация H03M 13/00. Приемное устройство, способ приема, программа и приемная система [Текст] / Ёкокава Такаси, Синья Осаму; заявл 2010.03.17, опубл. 2013.09.27.

31. Варгаузин, В.А., Цикин, И.А. Методы повышения энергетической и спектральной эффективности цифровой радиосвязи - СПб.: БХВ-Петербург, 2013 - 352 с. - ISBN 978-5-9775-0878-0.

32. Egorov S., Markarian G., Pickavance K. A modified blahut algorithm for decoding Reed-Solomon codes beyond half the minimum distance / IEEE transactions on communications Vol. 52 (2004) No 12.

33. Егоров С.И., Кривонос А.В. Устройство коррекции ошибок для оптической памяти массового применения // Известия Юго-Западного государственного университета. 2017. № 6 (75). С. 22-31.

34. Маллади Д. Патент 2 371 883 Российская Федерация H04W 8/08. Система и способ для мультиплексирования управляющей информации на физическом канале данных [Текст] / Маллади Дурга, Вилленеггер Серж Д.; заявл. 2004.10.01, опубл. 2009.10.27.

35. Ким Дзае-Йоел Канг Хее-Вон Патент 2 185 031 RU H04B 1/707, H03K 3/84, H03M 13/01, H04J 13/00 H04J 13/02 Способ генерации скремблирующего кода в системе мобильной связи усмэ и устройство для его осуществления / заявл. 2000.07.07, опубл. 2002.07.10.

36. Rijita Poddar V.N. Low cost highly secure image scrambler and descrambler // International Conference on Energy, Power and Environment: Towards Sustainable Growth (ICEPE). Shillong, India. 2015.

37. Xiao-Bei Liu A Study on Reconstruction of Linear Scrambler Using Dual Words of Channel Encoder // IEEE Transactions on Information Forensics and Security, Vol. 8, No. 3, March 2013. pp. 542-552.

38. Марухленко А.Л., Лопин В.Н. Разработка высокоскоростного алгоритма кодирования бинарного потока данных // Известия Курского государственного технического университета. - 2007. № 2 (19). С. 48-50.

39. Шеннон К. Работы по теории информации и кибернетике. — М.: Изд-во иностранной литературы, 1963. — 830 с.

40. Цай Мин-чан, У Джеймс Дж. Патент 2 477 004 RU H04L 1/18. Проверка правильности обнаружения подтверждения приема по схеме Н-ARQ посредством комбинирования данных и повторного декодирования [Текст] / заявл. 2008.03.20, опубл. 2013.02.27.

41. Бухарин В. В. Патент 2 547 628 RU H04L 9/32; H04L 12/801. Способ и устройство управления потоками данных распределенной информационной системы [Текст] / Бухарин В. В., Дворядкин В. В., Пикалов Е. Д., Романюк О. В., Куленич А. И. Ступаков И. Г.; заявл. 05.08.2013, опубл. 10.04.2015.

42. Бухарин В. В. Патент 2 710 284 RU H04L 9/32; G06F 21/00. Способ и устройство управления потоками данных распределенной информационной системы с использованием идентификаторов [Текст] / Бухарин В. В., Казачкин А. В., Карайчев С. Ю., Шалагинов В. А., Пикалов Е. Д. Ступаков И. Г.; заявл. 17.06.2019, опубл. 25.12.2019.

43. Горохов А. Патент 2 419 219 RU H04L 1/00. Способы и системы

для сокращения непроизводительных затрат для обработки для пакетов канала управления [Текст] / Горохов Алексей, Кхандекар Аамод, Борран Мохаммад Д., Пракаш Раджат; заявл. 27.07.2010, опубл. 20.05.2011.

44. Предварительный национальный стандарт РФ. ПНСТ 354-2019. Информационные технологии. Интернет вещей. Протокол беспроводной передачи данных на основе узкополосной модуляции радиосигнала (NB-Fi) [Электронный ресурс] // URL: http://docs.cntd.ru/document71200162760 (дата обращения 15.01.2020).

45. Роберт Круз. Структуры данных и проектирование программ. -Бином. Лаборатория знаний, 2008. - 768 c.

46. Кривченко Т., Особенности новой спецификации ZigBee Pro Feature Set // Электронные компоненты - 2006. - №2.

47. Panagiotis Papadimitratos, Zygmunt J. Haas Secure message transmission in mobile ad hoc networks // Ad Hoc Networks - 2003 - №1 PP. 193-209.

48. Bellare M. The security of the cipher block chaining message authentication code / M. Bellare, J. Kilian, P. Rogaway // JCSS - 1994. - vol. 3 -№ 3 - P. 341-358.

49. Dworkin M. SP 800-38D: Recommendation for Block Cipher Modes of Operation: Galois/Counter Mode (GCM) and GMAC / M. Dworkin, 2007.

50. Dworkin M. Recommendatin for Block Cipher Modes of Operation: The CCM Mode for Authentication and Confidentiality / M. Dworkin // Nist Spec. Publ. - 2004. - vol. 800-38C.

51. Герасимов М.А. Реализация алгоритма Хаффмана с заданной длиной разбиений входного потока на машинах Тьюринга с почти линейным временем //Вестник Санкт-Петербургского университета. Прикладная математика. Информатика. Процессы управления. 2010. № 3. С. 100-105.

52. D. Shant P. Premkumar, «Block Level Data Integrity Assurance Using Matrix Dialing Method towards High Performance Data Security on Cloud Storage,» Scientific Research Publishing, т. 7, № 11, pp. 3626-3644, 2016.

53. Предварительный национальный стандарт РФ. Информационные технологии. Интернет вещей. Протокол обмена для высокоемких сетей с большим радиусом действия и низким энергопотреблением [Электронный ресурс] // URL:https://drive.google.com/uc?id=12kPw5 ndO8zav7 BP EXKdytu7uEyy3x&export=download (дата обращения 15.01.2020).

54. 802.15.4-2015 - IEEE Standard for Low-Rate Wireless Personal Area Networks // IEEE Computer Society D0I:10.1109/ieeestd.2016.7460875.

55. Губарев А.В. Патент 2591181 Российская Федерация H04L9/28, H04K1/00, G09C1/06. Способ определения подлинности передаваемых командных слов [Текст] / А.В. Губарев, М.О. Таныгин; заявл. 25.03.2016, опубл. 10.07.2016, Бюл. №19, 2016.

56. Хисамутдинов, Р. А. Проблемы адекватности оценки производительности вычислительных систем и критерии разработки нового поколения тестовых систем // Вестник Уфимского государственного авиационного технического университета. - 2006. - Т. 7. - № 3. - С. 142-147.

57. Таныгин М. О. Зависимость времени поиска легальных слов от количества посторонних слов и размера пула легальных слов в условиях неограниченной ширины буфера принятых слов [Текст] / А.В. Губарев, М.О. Таныгин // Известия Юго-Западного государственного университета. Серия: Управление, вычислительная техника, информатика. Медицинское приборостроение. - 2015. - № 1(14). - С. 51-58.

58. Губарев А. В. Исследование зависимости времени поиска легальных слов от ширины буфера принятых слов / А. В. Губарев, М. О. Таныгин // Телекоммуникации - 2015. - № 12 - С. 21-26.

59. Ben Othman, S., Alzaid, H., Trad, A., & Youssef, H. An efficient secure data aggregation scheme for wireless sensor networks. // IISA 2013, doi:10.1109/iisa.2013.6623701.

60. Васильев К.К. Теория электрической связи [Текст]: учебное пособие / К. К. Васильев, В. А. Глушков, А. В. Дормидонтов, А. Г. Нестеренко - Ульяновск: УлГТУ, 2008. - 452 c.

61. Зюко А.Г. Теория передачи сигналов [Текст] / А. Г. Зюко, Д. Д. Кловский, М. В. Назаров, Л. М. Финк - М.: Связь, 1980. - 288 с.

62. Q. Ling and Z. Tian, "Decentralized Sparse Signal Recovery for Compressive Sleeping Wireless Sensor Networks," IEEE Transactions on Signal Processing, vol. 58, no. 7, pp.

63. Codabux-Rossan, Z., Doomun, M. R.. Performance of Interleaved Cipher Block Chaining in CCMP. Novel Algorithms and Techniques in Telecommunications and Networking, 2009 pp. 53-58. doi:10.1007/978-90-481-3662-9_9 .

64. Ratnesh Mishra, Ravi Shanker Shukla, Rajesh K. Shukla, R.R. Tewari Energy Efficient Wireless Network Security with Using Block Cipher Technique // International Journal of Management, Technology And Engineering Volume IX, Issue V, MAY/2019 pp. 3704 - 3727.

65. Таныгин М.О. Методика маскирования и контроля подлинности информации, передаваемой по открытым каналам с ограниченной длинной сообщения // Научные стремления - 2010: сб. материалов республ. науч.-практ. молодёжн. конф. с межд. участием - Минск: БГТУ, 2010. - С.401 -404.

66. Таныгин, М.О. Обнаружение при программном управлении работой устройства команд, выданных посторонними программами [Текст // Оптико-электронные приборы и устройства в системах распознавания образов, обработки изображений и символьной информации. Распознавание -2005 сборник материалов 7-й Международной конференции. - 2005. - С. 202203.

67. Таныгин М.О. Теоретические основы идентификации источников информации, передаваемой блоками ограниченного размера : монография / Курск: Изд-во ЗАО «Университетская книга», 2020. - 198 с. Библиогр.: с. 178198.

68. Таныгин М.О. Верификация данных, передаваемых между устройством и программным обеспечением [Текст] // Электронные средства

и системы управления: Материалы докладов Международной научно-практической конференции (13-16 октября 2010 г.). - Томск: В-Спектр, 2011: В 2 ч. - Ч. 2. С. 49 - 52.

69. Таныгин, М.О. Об одном методе контроля целостности передаваемой поблоково информации [Текст] / Таныгин М.О., Алшаиа Х.Я., Алтухова В.А. // Телекоммуникации. - 2019. - № 3. - С. 12-21.

70. Tanygin M.O. A method of the transmitted blocks information integrity control / Tanygin M.O., H.Y. Alshaeaa, E.A. Kuleshova // Radio Electronics, Computer Science, Control. - 2020. - № 1. pp. 181-189 e-ISSN 16073274

71. Таныгин М.О. Аpхитектуpа системы аппаратного ограничения доступа к информации на жестком диске ЭВМ [Текст] / М. О. Таныгин, А. П. Типикин // Телекоммуникации - 2006. - №3. - С.44 - 46.

72. Таныгин, М.О. Восстановление порядка следования информационных пакетов на основе анализа хеш-последовательностей [Текст] // Известия Юго-Западного государственного университета. 2020. Т. 24. - № 1. - С. 175-188.

73. Таныгин, М. О. Анализ системы контроля целостности цепочек информационных блоков на основе хэшей, / М. О. Таныгин, М.С. Брусов, Е.О. Ефремова, Ю.В. Сухорукова // Инфокоммуникации и космические технологии: состояние, проблемы и пути решения: Материалы III Всероссийской научно-практической конференции. / редкол.: В. Г. Андронов (отв. ред.) 2019 - Курск, 2019. - С.373 - 378.

74. Tanygin M.O. Establishing Trusted Channel for Data Exchange between Source and Receiver by Modified One-time Password Method / Tanygin M.O. , H.Y. Alshaeaa ; V.A. Altukhova // International Russian Automation Conference, RusAutoCon 2019, Номер статьи 8867590

75. Таныгин, М.О. Установление доверительного канала обмена данными между источником и приёмником информации с помощью модифицированного метода одноразовых паролей [Текст] / М.О. Таныгин,

Х.Я. Алшаиа, В.А. Алтухова, А.Л. Марухленко // Известия Юго-Западного государственного университета. Серия: Управление, вычислительная техника, информатика. Медицинское приборостроение. - 2018. - № 4(29). -С. 63-71.

76. Таныгин, М. О. Алгоритм формирования цепочек информационных блоков, получаемых приёмником от нескольких источников по значениям хэшей / М. О. Таныгин, М.С. Брусов, Е.О. Ефремова, Ю.В. Сухорукова // Инфокоммуникации и космические технологии: состояние, проблемы и пути решения: Материалы III Всероссийской научно-практической конференции. / редкол.: В. Г. Андронов (отв. ред.) 2019 - Курск, 2019. - С.369 - 373.

77. Таныгин М.О. Расчёт вероятности возникновения коллизий при использовании алгоритма контроля подлинности сообщений [Текст] / М. О. Таныгин // Известия Юго-Западного государственного университета. Серия: Управление, вычислительная техника, информатика. Медицинское приборостроение - 2012. - Ч. 2 - № 2 - С. 179-182.

78. Муравьева-Витковская Л. А., Фарашиани М. А. Вероятность распределения интервала времени между пакетами в корпоративной компьютерной сети // Изв. вузов. Приборостроение. 2017. Т. 60, № 10. С. 957—960.

79. Муравьева-Витковская Л. А. Оценка структурных параметров маршрутизатора при приоритетном управлении неоднородным трафиком с произвольным распределением длин пакетов // Изв. вузов. Приборостроение. 2017. Т. 60, № 10. С. 951—956.

80. Таныгин, М. О. Использование метаданных для исправления ошибок аутентификации при сетевом взаимодействи [Текст] / М. О. Таныгин Д. Хемраев, Х.Я.А. Алшаиа // Фундаментальные проблемы информационной безопасности в условиях цифровой трансформации" (ИНФОБЕЗОПАСНОСТЬ -2019): Сборник докладов XXIII пленума ФУМО

ВО ИБ и Всероссийской научной конференции - Ставрополь, СКФУ 2019. С. 14-18.

81. Таныгин М.О. Оценка влияния организации буферной памяти на скорость выполнения процедур определения источника сообщений [Текст] / Таныгин М.О., Алшаиа Х.Я., Добрица В. П, // Труды МАИ. 2020. Вы-пуск № 114. DOI: https://doi.org/10.34759/trd-2020-114-15.

82. Белоус А. И. Основы схемотехники микроэлектронных устройств [Текст] / А. И. Белоус, В. А. Емельянов, А. С. Турцевич- М.: Техносфера, 2012. - 472 c. ISBN 978-5-94836-307-3.

83. Букреев И.. Микроэлектронные схемы цифровых устройств [Текст] / И. Букреев, В. Горячев, Б. Мансуров - М.: Техносфера, 2009. - 712 c. ISBN 978-5-94836-197-0.

84. Джон, Ф. Проектирование цифровых устройств [Текст] / Ф. Джон, М. Уэйкерли ; пер. с англ. - М. : Постмаркет, 2002. - 543 с. : ил.

85. Наваби З. Проектирование встраиваемых систем на ПЛИС [Текст] / Заиналабедин Наваби; пер. с англ. - М. : ДМК Пресс, 2016. - 464 с. : ил. ISBN 978-5-97060-174-7.

86. An In-depth look at LoRaWAN® Class A Devices [Электронный ресурс] URL: //lora-developers.semtech.com/library/tech-papers- and-guides/lorawan-class-a-devices (дата обращения 15.04.2021).

87. An In-depth Look at LoRaWAN® Class B Devices [Электронный ресурс] URL: //lora-developers.semtech.com/library/tech-papers-and-guides/lorawan-class-b-devices (дата обращения 15.04.2021).

88. Макаренко С. И. Экспериментальные исследования реакции сети связи и эффектов перемаршрутизации информационных потоков в условиях динамического изменения сигнально-помеховой обстановки / С. И. Макаренко, О. В. Афанасьев, И. А. Баранов, Д. В. Самофалов // Журнал радиоэлектроники 2016, N 4, с. 2.

89. Фарашиани М. А., Муравьева-Витковская Л. А. Анализ соответствия генерируемого при моделировании потока заявок реальному

трафику в компьютерных сетях // Изв. вузов. Приборостроение. 2017. Т. 60, № 1. С. 10—13.

90. Зайцев В., Соколов Н. Особенности мультисервисного трафика с учетом сообщений, создаваемых устройствами IoT. // Первая миля, 2017 № 4, с. 44 - 47.

91. Таныгин М.О. Сложность алгоритма определения источника данных [Текст] / Таныгин М.О., Алшаиа Х.Я., Митрофанов А.В.// Труды МАИ. 2021. Выпуск № 117. DOI: https://doi.org/10.34759/trd-2021-117-12.

92. Таныгин. М. О. Алгоритм определения источника фрагментированных сообщений [Текст] // Известия ВУЗов. Приборостроение. - 2020. - Т. 63, №9. - С.73 - 76.

93. Левитин А. В. Алгоритмы. Введение в разработку и анализ -М.: Вильямс, 2006. - 576 с. - ISBN 978-5-8459-0987-9.

94. А. В. Ахо, Д. Э. Хопкрофт, Д. Д. Ульман. Построение и анализ вычислительных алгоритмов - М.: Мир, 1979. - 535.

95. Т.Кормен, Ч.Лейзерсон, Р.Ривест, К.Штайн - Алгоритмы. Построение и анализ. - 2-е изд. - М.: Вильямс, 2005. - 1296 с. - ISBN 5-84590857-4.

96. Фрейман, В.И. Диагностирование и оценка состояния элементов систем управления распределенными инфраструктурами / В.И. Фрейман, А.А. Южаков // Мехатроника, автоматизация, управление. - 2018. - Т. 19. -№ 2.- С. 86-94.

97. Типикин А.П., Глазков А.С. Метод повышения надежности управления программно - аппаратной системой ограничения доступа // Известия Курского государственного технического университета. 2010. № 1 (30). С. 32 - 38.

98. Таныгин М. О. Способ обмена данными между контроллерами защиты информации по протоколу PCI- Express / В.П. Добрица, Е.В.

Непочатых, Р.С. Слободин, Е.В. Талдыкин, М. О. Таныгин, А. П. Типикин // Телекоммуникации - 2019. - №8. - С.21 - 26.

99. Шнайер Б. Прикладная криптография. Протоколы, алгоритмы, исходные тексты на языке Си [Текст] / Б. Шнайер - М.: Издательство ТРИУМФ, 2002. - 816 c. ISBN-978-5-9908462-4-1.

100. Tanygin M.O. Analysis of the Secure Data Transmission System Parameters / Tanygin M.O. , H.Y. Alshaeaa ; M.A. Efremov // Advances in Automation Proceedings of the International Russian Automation Conference, RusAutoCon 2019, September 8-14, 2019, Sochi, Russia pp. 675 - 683 ISSN 1876-1100 https://doi.org/10.1007/978-3-030-39225-3

101. Таныгин, М.О. Исследование свойств алгоритмов формирования защищенных сообщений [Текст] / Таныгин М.О., Алшаиа Х.Я// Телекоммуникации. - 2020. - № 1. - С. 2-9.

102. Таныгин, М. О. Алгоритм необратимых преобразования для системы контроля целостности цепочек пакетов в сетях с низкой пропускной способностью / М. О. Таныгин, В.А.Берлизева, Х.Я.А. Алшаиа // Инфокоммуникации и космические технологии: состояние, проблемы и пути решения: Материалы III Всероссийской научно-практической конференции / 2019 - Курск, 2019. - С.165 - 169.

103. Таныгин, М. О. Алгоритм обратимых преобразования для контроля аутентичности пакетов в сетях с низкой пропускной способностью / М. О. Таныгин, В.А.Берлизева, Х.Я.А. Алшаиа // Инфокоммуникации и космические технологии: состояние, проблемы и пути решения: Материалы III Всероссийской научно-практической конференции. / редкол.: В. Г. Андронов (отв. ред.) 2019 - Курск, 2019. - С.169 - 173.

104. Корн, Г. Справочник по математике для научных работников и инженеров [Текст] / Г. Корн, Т. Корн. - М. : Наука, 1978. - 832 с.: ил.

105. Вентцель, Е. С. Теория случайных процессов и её инженерные приложения [Текст] / Е. С. Вентцель, Л. А.Овчаров. - М. : Наука, 1991. -384 с. : ил.

106. Таныгин. М. О. Исследование вероятности возникновения одного типа ошибок в системе определения источника информационных пакетов [Текст] // Известия ВУЗов. Приборостроение. - 2020. - Т. 63, №9. - С.73 -76

107. Вероятность и математическая статистика: Энциклопедия / Под ред. Ю.В. Прохорова. - М.: Большая российская энциклопедия, 2003. - 912 с

108. Балдин К. В. Общая теория статистики: Учебное пособие / К. В. Балдин, А. В. Рукосуев. — 2-е изд. — М.: Издательско-торговая корпорация «Дашков и К°», 2010 — 312 с. ISBN 978-5-394-00926-6.

109. Tanygin M. O., Alshaeaa H.Y., Dobritsa V. P., " Study of the influence of the unauthorized blocks number on the cost of the speed and memory RAM during the analysis data process", IOP Conference Series: Mate-rials Science and Engineering, Volume 928, 2nd International Scientific Con-ference of Al-Ayen University (ISCAU-2020) 15-16 July 2020, Thi-Qar, Iraq. DOI: https://doi.org/10.1088/1757-899X/928/3/032020

110. Tanygin M. O., Alshaeaa H.Y., Dobritsa V. P., "Study of the influence of the unauthorized blocks number on the collisions probability", in International Russian Automation Conference, RusAutoCon 6-12 September 2020, Sochi, Russian Federation. DOI: https://doi.org/10.1007/978-3-030-71119-1_12.

111. Горлач Б. Теория вероятностей и математическая статистика [Текст] / Б. Горлач - СПб.: Лань, 2013. - 320 c.

112. Ткалич В.Л., Лабковская Р.Я. «Обработка результатов технических измерений». Учебное пособие. СПб: СПбГУ ИТМО, 2011. - 72 с.

113. «Математическая энциклопедия» / Главный редактор И. М. Виноградов. — М.: «Советская энциклопедия», 1979. — Т. 4. — 1104 с. — 148 800 экз.

114. Tanygin M. O., Alshaeaa H.Y., Kuleshova E. A., " A Method of The Trans-mitted Blocks Information Integrity Control," Radio Electronics, Computer Science, Control. 2020. № 1, P. 182-189.

115. Alshaeaa H.Y., Tanygin M. O., Dobritsa V. P., " Study of the influence of the unauthorized blocks number on the cost of the speed and memory RAM during the analysis data process", IOP Conference Series: Materials Science and Engineering, Volume 928, 2nd International Scientific Conference of Al-Ayen University (ISCAU-2020). DOI: https://doi.org/10.1088/1757-899X/928/3/032020

116. Шеннон Р. Имитационное моделирование систем - искусство и наука [Текст] / Р. Шеннон - М.: Мир, 1978. - 420 c.

117. Каталевский Д. Ю. Основы имитационного моделирования и системного анализа в управлении [Текст] / Д. Ю. Каталевский // Информационные технологии (Флинта), 2015. - 62-98 с.

118. Дэвис Д. Асинхронное программирование в C# 5.0 [Текст] / Д. Дэвис - М.: ДМК Пресс, 2013. - 122 c.

119. Кельтон В. Имитационное моделирование [Текст] / В. Кельтон, А. Лоу - СПб.: Питер, 2004. - 874 c.

120. Свидетельство о государственной регистрации программы для ЭВМ № 2021614840 Российская Федерация. Программа для формирования структурированных множеств информационных блоков для определения источника сообщений: № 2021613982: заявл. 26.03.2021: опубл. 30.03.2021 / М. О. Таныгин, Х. Я. А. Алашаиа, А. В. Митрофанов.

121. П. Хоровиц Искусство схемотехники. Том 1. - ЁЁ Медиа, 2012. -600 с. ISBN 978-5-458-28717-3.

122. Э. Таненбаум, Т. Остин. Архитектура компьютера [Текст] - СПб. : Питер, 2012. - 816 с. : ил ISBN 978-5-496-00337-7.

123. Вентцель, Е. С. Теория вероятностей и её инженерные приложения [Текст] / Е. С. Вентцель, Л. А.Овчаров. - М. : Наука, 1988. - 480 с. : ил.

124. Хаусдорф Ф. Теория множеств - М.: Издательство ЛКИ, 2015 -304 с. ISBN: 9785397048798

125. Маланин В.В. Методы и практика анализа случайных процессов в динамических системах / В.В. Маланин, И.Е.Полосков - М. : Регулярная и хаотическая динамика, 2005. - 296 с. : ил.; ISBN 5-93972-477-9.

126. Могилевский В. Д. Формализация динамических систем / В.Д. Могилевский. - М. : Вуз. кн., 1999. - 215 с. : ил.; 20 см.; ISBN 5-89522-019-3.

127. Калинцев С.В. Учебно-методический комплекс по предмету Структурная и функциональная организация ЭВМ [Текст] / С. В. Калинцев -Новополоцк: ПГУ, 2008. - 284 с.

128. Каган, Б. М. Цифровые вычислительные машины и системы [Текст] : уч. пособие / Б. М. Каган, М. М. Каневский - М. , Энергоатомиздат, 1974, 689 с. : ил.

129. Таныгин М. О. Вариант организации многопоточной обработки конфиденциальных данных на базе клеточных автоматов / Бобынцев Д.О., Марухленко А.Л., Марухленко Л.О., Плугатарев А.В., Таныгин, М. О. // Известия Юго-Западного государственного университета. 2019. Т. 23. - № 3. - С. 100-112.

130. Таныгин М.О. Формальное описание модели взаимодействия устройств в условиях ограничения размера полей метаданных/ Таныгин М.О., Алшаиа Х.Я., Добрица В. П., // Инфокоммуникации и космические технологии: состояние, проблемы и пути решения: сборник научных статей по материалам III Всероссийской научно-практической конференции / отв. ред. В. Г. Андронов. Курск, 2020. С. 7-10.

131. Алшаиа Х.Я. Построение модели для сокращения быстродействующих аппаратных затрат на анализ служебных полей блоков инфор-

маций / Алшаиа Х.Я.// Инновации технических решений в машинострое-нии и транспорте: сборник научных статей по материалам VII Всероссий-ская научно-техническая конференция для молодых ученых и студентов с международным участием / отв. ред. В.В. Салмина. Пенза, 2021. С. 25-29

132. Угрюмов Е.П. Цифровая схемотехника [Текст] / Е. П. Угрюмов -СПб.: БХВ-Петербург, 2004. - 528 c.

133. Гук М. Аппаратные интерфейсы ПК. Энциклопедия [Текст] / М. Гук - СПб.: Питер, 2002. - 528 c.

134. Хоуп, Г. Проектирование цифровых вычислительных устройств на интегральных системах [Текст] / Гордон Хоуп - М. : Радио и связь, 1983 -538 с. : ил.

135. Мартемьянов Ю. Ф., Операционные системы. Концепции построения и обеспечения безопасности. Учебное пособие для вузов [Текст] / Мартемьянов Ю. Ф., Яковлев А. В., Яковлев А. В. - ИнфоКомКнига научная книга, 2018 .- 332 c. ISBN 978-5-9912-0677-8.

136. Тарасов И.Е. ПЛИС Xilinx. Языки описания аппаратуры VHDL и Verilog, САПР, приемы проектирования [Текст] - М. : Горячая Линия -Телеком, 2019. - 538 с. : ил. ISBN 978-5-9912-0802-4.

137. Hellerman H. Digital Computer System Principles [Текст] / H. Hellerman // McGraw-Hill, 1967. - 134-142 pp.

138. Батушев В.А. Микросхемы и их применение [Текст] / В. А. Батушев, В. Н. Вениаминов, В. Ковалев - М.: Энергия, 1978. - 248 c.

139. Т.Кормен, Ч.Лейзерсон, Р.Ривест, К.Штайн - Алгоритмы. Построение и анализ. - 2-е изд. - М.: Вильямс, 2005. - 1296 с. - ISBN 5-84590857-4.

128

ПРИЛОЖЕНИЕ А

(информационное)

Схемы блоков в системе автоматического проектирования

Рисунок А.1 - Схема одного столбца памяти адресов сообщений.

Рисунок А.2 - Схема блока анализа сообщений.

130

ПРИЛОЖЕНИЕ Б

(информационное)

Описание блоков устройства на языке VHDL

--блок предобработки данных library ieee;

use ieee.std_logic_1164.all; use ieee.numeric_std.all;

entity record_file_256x40 is generic (

rec_WIDTH : integer := 40; rec_DEPTH : integer := 256

); port (

rst_rec : in std_logic;

clk_rec : in std_logic;

-- Rec. Write Interface Rec_wr_en : in std_logic;

Rec_wr_data : in std_logic_vector(rec_WIDTH-1 downto 0); -- Rec_wr_Num : in integer range -1 to rec_DEPTH;-- := 0; -- Rec. Read Interface Rec_rd_en : in std_logic; -- Rec_rd_Num : in integer range -1 to rec_DEPTH;-- := 0; Rec_rd_data : out std_logic_vector(rec_WIDTH-1 downto 0) );

end record_file_256x40; architecture rtl of record_file_256x40 is

type t_Rec_DATA is array (0 to rec_DEPTH-1) of std_logic_vector(rec_WIDTH-1 downto 0); signal Rec_DATA : t_Rec_DATA; signal rec_COUNT : integer range -1 to rec_DEPTH;

signal Rec_wr_Num : integer range -1 to rec_DEPTH;-- := 0; -- ?????? ??? ??????

signal Rec_rd_Num : integer range -1 to rec_DEPTH;-- := 0; -- ?????? ??? ??????

begin

rec_PRO : process (clk_rec) is begin

if rising_edge(clk_rec) then -- rising_edge(rst_rec) if rst_rec = '1' then -- sync reset

rec_COUNT <= -1; Rec_wr_Num <= -1; Rec_rd_Num <= -1; else

-- Registers the input data when there is a write if (Rec_wr_en = '1' and rec_COUNT /= rec_DEPTH) then rec_COUNT <= rec_COUNT + 1; Rec_wr_Num <= Rec_wr_Num + 1; Rec_DATA(Rec_wr_Num) <= Rec_wr_data; end if;

-- Registers the output data when there is a read if (Rec_rd_en = '1' and rec_COUNT /= 0) then rec_COUNT <= rec_COUNT - 1; Rec_rd_Num <= Rec_rd_Num + 1; Rec_rd_data <= Rec_DATA(Rec_rd_Num); end if; end if; end if; end process rec_PRO; end rtl;

library ieee;

use ieee.std_logic_1164.all; use ieee.numeric_std.all;

entity record_file_256x40_tb is end record_file_256x40_tb;

architecture behave of record_file_256x40_tb is

constant REC_DEPTH : integer := 256; constant REC_WIDTH : integer := 40;

signal REC_RESET : std_logic := '1'; signal REC_CLOCK : std_logic := '0';

signal Rec_WR_EN : std_logic;-- := '1';

signal Rec_WR_DATA : std_logic_vector(REC_WIDTH-1 downto 0) := (others => '0');

-- signal Rec_WR_NUM : integer range 0 to REC_DEPTH-1;

signal Rec_RD_EN : std_logic;-- := '1'; -- signal Rec_RD_NUM : integer range 0 to REC_DEPTH-1; signal Rec_RD_DATA : std_logic_vector(REC_WIDTH-1 downto 0);

component record_file_256x40 is generic ( rec_WIDTH : integer := 40; rec_DEPTH : integer := 256

); port (

rst_rec : in std_logic; clk_rec : in std_logic;

-- Rec. Write Interface Rec_wr_en : in std_logic;

Rec_wr_data : in std_logic_vector(rec_WIDTH-1 downto 0); -- Rec_wr_Num : in integer range -1 to rec_DEPTH;-- := 0; -- Rec. Read Interface Rec_rd_en : in std_logic; -- Rec_rd_Num : in integer range -1 to rec_DEPTH;-- := 0; Rec_rd_data : out std_logic_vector(rec_WIDTH-1 downto 0) );

end component record_file_256x40; begin

REC0RD_FILE_256x40_INST : record_file_256x40 generic map (

rec_WIDTH => REC_WIDTH, rec_DEPTH => REC_DEPTH

)

port map ( rst_rec => REC_RESET, clk_rec => REC_CL0CK, Rec_wr_en => Rec_WR_EN, Rec_wr_data => Rec_WR_DATA, -- Rec_wr_Num => Rec_WR_NUM,

Rec_rd_en => Rec_RD_EN, -- Rec_rd_Num => Rec_RD_NUM, Rec_rd_data => Rec_RD_DATA );

REC_CLOCK <= not REC_CLOCK after 1 ns;

p_TEST : process is begin

wait until REC_CLOCK = '1'; wait for 5 ns;

wait until REC_CLOCK = '1'; Rec_WR_EN <= '1'; wait until REC_CLOCK = '1'; -- Rec_WR_NUM <= 0; wait until REC_CLOCK = '1'; Rec_WR_DATA <= x"00aaaaaaaa"; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; -- Rec_WR_NUM <= 1; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; Rec_WR_DATA <= x"bababababa"; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; -- Rec_WR_NUM <= 2; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; Rec_WR_DATA <= x"cccccccccc"; Rec_RD_EN <= '1'; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; -- Rec_RD_NUM <= 0; -- r_RESET <= '0'; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; -- Rec_RD_NUM <= 1; -- r_RESET <= '1'; -- Rec_WR_NUM <= 3; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; Rec_WR_DATA <= x"00bbbbbbbb"; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; -- Rec_RD_NUM <= 2; wait until REC_CLOCK = '1';

wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; wait until REC_CLOCK = '1'; -- Rec_RD_NUM <= 3; -- r_RESET <= '0'; -- wait for 10 ns; -- r_RESET <= '1';

end process;

end behave;

--блок буферизации сообщений library ieee;

use ieee.std_logic_1164.all; use ieee.numeric_std.all;

entity Module_buffer is generic (

B_WIDTH : natural := 15; B_DEPTH : integer := 30

); port (

i_rst : in std_logic; i_clk : in std_logic;

i_wr_en : in std_logic;

i_wr_data : in std_logic_vector(B_WIDTH-1 downto 0); -- o_af : out std_logic; o_full : out std_logic;

i_rd_en : in std_logic; o_rd_data : out std_logic_vector(B_WIDTH-1 downto 0); -- o_ae : out std_logic; o_empty : out std_logic );

end Module_buffer;

architecture rtl of Module_buffer is

type t_DATA is array (0 to B_DEPTH-1) of std_logic_vector(B_WIDTH-1 downto 0);

signal r_DATA : t_DATA := (others => (others => '0')); signal r_WR_INDEX : integer range 0 to B_DEPTH-1 := 0;

signal r_RD_INDEX : integer range 0 to B_DEPTH-1 := 0 signal r_COUNT : integer range 0 to B_DEPTH := 0; signal w_FULL : std_logic; signal w_EMPTY : std_logic;

begin

p_CONTROL : process (i_clk) is begin

if rising_edge(i_clk) then if i_rst = '1' then r_COUNT <= 0; r_WR_INDEX <= 0; r_RD_INDEX <= 0; else

if (i_wr_en = '1' and i_rd_en = '0') then

r_COUNT <= r_COUNT + 1; elsif (i_wr_en = '0' and i_rd_en = '1') then

r_COUNT <= r_COUNT - 1; end if;

if (i_wr_en = '1' and w_FULL = '0') then if r_WR_INDEX = B_DEPTH-1 then

r_WR_INDEX <= 0; else

r_WR_INDEX <= r_WR_INDEX + 1; end if; end if;

if (i_rd_en = '1' and w_EMPTY = '0') then if r_RD_INDEX = B_DEPTH-1 then

r_RD_INDEX <= 0; else

r_RD_INDEX <= r_RD_INDEX + 1; end if; end if;

if i_wr_en = '1' then r_DATA(r_WR_INDEX) <= i_wr_data; end if;

if i_rd_en = '1' then

o_rd_data <= r_DATA(r_RD_INDEX); end if;

end if; end if;

end process p_CONTROL;

w_FULL <= '1' when r_COUNT = B_DEPTH;

w_EMPTY <= '1' when r_COUNT = 0;

--o_af <= '1' when r_COUNT > BF_LEVEL else '0'; --o_ae <= '1' when r_COUNT < BE_LEVEL else '0';

o_full <= w_FULL; o_empty <= w_EMPTY; p_ASSERT : process (i_clk) is begin

if rising_edge(i_clk) then if i_wr_en = '1' and w_FULL = '1' then

report "ASSERT FAILURE - BUFFER IS FULL AND BEING WRITTEN " severity failure; end if;

if i_rd_en = '1' and w_EMPTY = '1' then

report "ASSERT FAILURE - BUFFER IS EMPTY AND BEING READ " severity failure; end if; end if; end process p_ASSERT;

end rtl; library ieee;

use ieee.std_logic_1164.all; use ieee.numeric_std.all;

entity Module_buffer_tb is end Module_buffer_tb;

architecture behave of Module_buffer_tb is

constant c_DEPTH : integer := 256; constant c_WIDTH : integer := 40;

signal r_RESET : std_logic := '0'; signal r_CLOCK : std_logic := '0'; signal r_WR_EN : std_logic := '0';

signal r_WR_DATA : std_logic_vector(c_WIDTH-1 downto 0); -- signal w_AF : std_logic; signal w_FULL : std_logic; signal r_RD_EN : std_logic := '0';

signal w_RD_DATA : std_logic_vector(c_WIDTH-1 downto 0); -- signal w_AE : std_logic; signal w_EMPTY : std_logic; component Module_buffer is generic (

B_WIDTH : natural := 40; B_DEPTH : integer := 256

); port (

i_rst : in std_logic; i_clk : in std_logic;

-- FIFO Write Interface i_wr_en : in std_logic;

i_wr_data : in std_logic_vector(B_WIDTH-1 downto 0); -- o_af : out std_logic; o_full : out std_logic;

-- FIFO Read Interface i_rd_en : in std_logic;

o_rd_data : out std_logic_vector(B_WIDTH-1 downto 0); -- o_ae : out std_logic; o_empty : out std_logic );

end component Module_buffer; begin

-- память адресов сообщений Module_buffer_INST : Module_buffer generic map (

B_WIDTH => c_WIDTH, B_DEPTH => c_DEPTH

)

port map (

i_rst => r_RESET,

i_clk => r_CLOCK, i_wr_en => r_WR_EN, i_wr_data => r_WR_DATA, -- o_af => w_AF, o_full => w_FULL,

i_rd_en => r_RD_EN, o_rd_data => w_RD_DATA, -- o_ae => w_AE, o_empty => w_EMPTY

);

r_CLOCK <= not r_CLOCK after 5 ns; p_TEST : process is begin

wait until r_CLOCK = '1'; r_RESET <= '0'; wait until r_CLOCK = '1'; r_WR_EN <= '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; r_WR_EN <= '0'; r_RD_EN <= '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; r_RD_EN <= '0'; r_WR_EN <= '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; r_RD_EN <= '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; r_WR_EN <= '0'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; -- r_RD_EN <= '0'; wait until r_CLOCK = '1'; wait until r_CLOCK = '1'; -- r_WR_EN <= '1'; end process; end behave;

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.