Повышение помехоустойчивости систем связи с ортогональным частотным уплотнением на основе метода предкодирования поднесущих частот тема диссертации и автореферата по ВАК РФ 05.12.13, кандидат наук Ишмияров Арсен Арамаисович
- Специальность ВАК РФ05.12.13
- Количество страниц 113
Оглавление диссертации кандидат наук Ишмияров Арсен Арамаисович
ВВЕДЕНИЕ
ГЛАВА 1 АНАЛИЗ МЕТОДОВ ПОВЫШЕНИЯ ЭФФЕКТИВНОСТИ СИСТЕМ СВЯЗИ, ИСПОЛЬЗУЮЩИХ ТЕХНОЛОГИЮ OFDM
1.1 Обзор технологии OFDM
1.2 Обобщенная схема формирования сигнала в OFDM-системах
1.3 Анализ проблем технологии OFDM
1.4 Анализ работ в области уменьшения пик-фактора в системах, использующих технологию OFDM
1.4.1 Ограничение сигнала по уровню
1.4.2 Использование формирующих фильтров
1.4.3 Подстройка фазы передаваемого сигнала
1.4.4 Предкодирование поднесущих
1.5 Постановка задачи исследования
Выводы по 1 главе
ГЛАВА 2 РАЗРАБОТКА МЕТОДА СНИЖЕНИЯ ПИК-ФАКТОРА, ОСНОВАННОГО НА ПРЕДКОДИРОВАНИИ ПОДНЕСУЩИХ, В СИСТЕМАХ, ИСПОЛЬЗУЮЩИХ ТЕХНОЛОГИЮ OFDM
2.1 Математическая модель OFDM передатчика с использованием предкодирования
2.2 Разработка имитационной модели OFDM-системы, использующей предкодирование поднесущих
2.3 Результаты имитационного моделирования
2.4 Анализ эффективности предложенного метода уменьшения пик-фактора
Выводы по 2 главе
ГЛАВА 3 Разработка метода повышения помехоустойчивости в OFDM-системах на основе совместного применения поворота сигнального созвездия и
предкодирования поднесущих
3.1 Поворот сигнального созвездия как способ повышения помехоустойчивости
3.2 Разработка имитационной модели OFDM-системы, использующей предкодирование поднесущих и поворот сигнального созвездия
3.3 Результаты имитационного моделирования
3.4 Анализ полученных данных
Выводы по 3 главе
ГЛАВА 4 ЭКСПЕРИМЕНТАЛЬНОЕ ИССЛЕДОВАНИЕ РАБОТЫ OFDM-СИСТЕМЫ, ИСПОЛЬЗУЮЩЕЙ ПРЕДЛОЖЕННЫЙ МЕТОД СНИЖЕНИЯ ПИК-ФАКТОРА
4.1 Разработка методики повышения помехоустойчивости в сетях цифрового телевидения стандарта DVB-T2
4.2 Экспериментальное исследование метода снижения пик-фактора в системах, использующих технологию OFDM
4.3 Анализ результатов эксперимента
Выводы по 4 главе
ЗАКЛЮЧЕНИЕ
СПИСОК СОКРАЩЕНИЙ И ОБОЗНАЧЕНИЙ
Список литературы
Приложение А
Приложение Б
Рекомендованный список диссертаций по специальности «Системы, сети и устройства телекоммуникаций», 05.12.13 шифр ВАК
Методы компенсации межканальных и внутриканальных интерференционных помех в системах связи с ортогональным частотным мультиплексированием2018 год, кандидат наук Мешкова, Алина Газимьяновна
Разработка программно-аппаратных средств повышения эффективности системы цифрового наземного телевизионного вещания второго поколения DVB-T22018 год, кандидат наук Чан Ван Нгиа
Разработка методов повышения эффективности передающих и приёмных средств цифровых радиосистем передачи данных2017 год, кандидат наук Левченко Андрей Сергеевич
Алгоритмы обработки спектрально-эффективных сигналов с частотным мультиплексированием2024 год, кандидат наук Каменцев Олег Константинович
Повышение энергетической эффективности автономных систем радиосвязи на основе методов дифференциального преобразования OFDM-сигналов2017 год, кандидат наук Воронков, Григорий Сергеевич
Введение диссертации (часть автореферата) на тему «Повышение помехоустойчивости систем связи с ортогональным частотным уплотнением на основе метода предкодирования поднесущих частот»
ВВЕДЕНИЕ
Актуальность темы. Сигналы с ортогональным частотным мультиплексированием (Orthogonal Frequency Division Multiplexing, OFDM) широко применяются в современных сетях широкополосного беспроводного доступа. Возросший поток данных требует увеличения скорости передачи в канале и повышения спектральной эффективности сигналов. Технология OFDM используется в проводных системах, таких как передача данных по линиям электропередачи (PLC), цифровые абонентские линии (DSL); в беспроводных широковещательных приложениях, такие как цифровое аудио вещание (DAB) и цифровое телевизионное вещание (DVB). Кроме того, она широко реализована в беспроводных локальных сетях (WLAN); в стандартах IEEE 802.11 a/g/n/ac, IEEE 802.16d/e, ETSI HIPERLAN/ 2; в беспроводных системах стандартов LTE и LTE-A и сверхширокополосных (UWB) системах передачи данных. В настоящее время она рассматривается в качестве основной технологии для будущих систем связи пятого поколения (5G).
Технология OFDM представляет из себя эффективное использование частотного ресурса с помощью передачи данных по большому числу близко расположенных узкополосных каналов. Следствием многоканальности системы являются ее преимущества: способность противостоять многолучевому распространению, устойчивость к узкополосным помехам и частотно-селективным замираниям, увеличение битовой скорости передачи данных с помощью использования различных схем модуляции.
Несмотря на преимущества, которые дает передача сигнала на множестве поднесущих, существуют также недостатки, связанные в первую очередь с неравномерным распределение мощности на поднесущих за счет использования поднесущих, немодулированных полезным сигналом, пилот-сигналов и информационных несущих. В связи с этим различается средняя мощность сигнала в полосе пропускания и пиковая мощность на выборке, состоящей из всех поднесущих, следствием чего становится высокое значение пик-фактора сигнала в системах, использующих OFDM.
Нелинейные искажения, вызванные высоким пик-фактором, приводят к нарушению ортогональности поднесущих, что приводит к увеличению вероятности появления битовой ошибки принимаемого сигнала. Высокий пик-фактор требует производства выходного усилителя мощности с достаточной большим динамическим диапазоном усиления, что приводит к снижению КПД передатчика. Также за счет выбросов на пиках поднесущих повышается выходная мощность усилителя, что ведет к снижению спектральной эффективности системы, которая определяется коэффициентом использования мощности сигнала при заданной спектральной плотности мощности помехи. Из-за проблемы с высокой пиковой мощностью OFDM, при использовании нелинейных усилителей нарушается спектральная сетка OFDM сигнала, что приводит к увеличению коэффициента битовой ошибки при приеме полезного сигнала. Также высокий пик-фактор сигнала приводит к необходимости использования усилителей с более широким динамическим диапазоном, что сказывается на его цене, энергопотреблении и сроке службы. Данный недостаток значительно ограничивает область применения сигналов с OFDM и накладывает ограничения на скорость передачи данных и достоверность приема. Таким образом, разработка методов и способов уменьшения значения пик-фактора является актуальной научно-технической задачей для современных и перспективных систем беспроводной связи, использующих OFDM.
Степень разработанности темы. При решении проблемы уменьшения пик-фактора в ходе анализа литературы были изучены труды отечественных и зарубежных ученых. Большой вклад оказали работы отечественных ученых: Шинаков Ю.С., Власюк И.В., Минаев И.Г., Макаров С.Б., Коржихин О.Е., Майстренко В.А, Рашич А.В. Среди зарубежных ученых, можно отметить труды Slimane S.B., Falconer D., Hendranata R.R., Cho Y.S., Panayiotopoulos I., Amstrong J., Beaulieu N.C., Tan P., AL-Hashmi Z.S.H. Следовательно, решение задачи снижения пик-фактора является актуальным как в практическом, так и в научном отношениях.
Объект исследования. Системы связи, использующие технологию OFDM, характеризующиеся неравномерным распределением мощности на поднесущих.
Предмет исследования. Методы уменьшения пик-фактора для систем связи на базе технологии OFDM.
Целью работы является повышение помехоустойчивости OFDM систем связи за счет уменьшения пик-фактора с использованием предкодирования поднесущих частот.
Задачи исследования:
1. Разработка структуры тракта формирования OFDM-сигнала, позволяющей снизить пик-фактор сигнала OFDM без ухудшения качества связи.
2. Разработка метода определения функций предкодирования, основанного на использовании методов численной оптимизации по критерию минимума энергии в полосе подавления КИХ-фильтра и позволяющего определить класс дискретных передаточных функций для предкодирования.
3. Разработка методики оценки эффективности предкодирования поднесущих частот, основанной на использовании критериев вероятностных оценок и позволяющей определить среднее снижение пик-фактора сигнала и выбрать наиболее эффективную для заданного типа модуляции сигналов функцию предкодирования.
4. Разработка метода повышения помехоустойчивости OFDM-систем, основанного на совместном использовании поворота сигнального созвездия и предкодирования поднесущих частот группового сигнала OFDM и позволяющего снизить вероятность появления битовой ошибки принимаемого сигнала с одновременным дополнительным снижением пик-фактора.
Научная новизна работы:
1. Предложена структура тракта формирования OFDM-сигнала, отличающаяся наличием блока предкодирования поднесущих частот, обеспечивающего равномерное распределение мощности на поднесущих, позволяющая снизить пик-фактор сигнала OFDM без ухудшения качества связи.
2. Разработан метод определения функций предкодирования, основанный на использовании методов численной оптимизации по критерию минимума энергии в полосе подавления КИХ-фильтра, отличающийся линеаризацией амплитудных ограничений передаточной характеристики КИХ -фильтра, позволяющий определить класс дискретных передаточных функций для предкодирования.
3. Разработана методика оценки эффективности предкодирования поднесущих частот, основанная на использовании критериев вероятностных оценок, отличающаяся совместным рассмотрением вероятности битовой ошибки и интегральной функции распределения CCDF пик-фактора сигнала, позволяющая определить среднее снижение пик-фактора сигнала и выбрать наиболее эффективную для заданного типа модуляции сигналов функцию предкодирования.
4. Разработан метод повышения помехоустойчивости OFDM-систем, основанный на совместном использовании поворота сигнального созвездия и предкодирования поднесущих частот группового сигнала OFDM, отличающийся возможностью управления углом поворота сигнального созвездия в зависимости от выбранного вида модуляции и позволяющий снизить вероятность появления битовой ошибки принимаемого сигнала с одновременным дополнительным снижением пик-фактора.
Теоретическая и практическая значимость работы состоит в возможности использования разработанных методов уменьшения пик-фактора в системах связи, основанных на технологии OFDM. Применение данных методов позволит более полно использовать рабочий диапазон усилителей мощности и избежать нелинейных искажений при передаче сигнала по каналу связи. Применение поворота сигнального созвездия на рекомендованный угол при заданном виде модуляции приведет к уменьшению вероятности битовой ошибки принимаемого сигнала. Таким образом, ортогональность поднесущих не будет нарушена, и, как следствие, помехоустойчивость систем связи, использующих OFDM, возрастет.
Методология и методы исследования. Полученные результаты базируются на использования положений теории цифровой обработки сигналов, теории электрической связи, теории вероятностей и математической статистики, теории спектрального анализа сигнала. Применены методы математического моделирования, методы имитационного моделирования с использованием программного пакета MatLab. На основе полученных результатов имитационного моделирования проведены исследования экспериментального характера, с использованием программируемой логической интегральной схемы (ПЛИС) в качестве генератора сигналов.
Положения выносимые на защиту:
1. Структура тракта формирования OFDM-сигнала, позволяющая снизить пик-фактор сигнала OFDM без ухудшения качества связи.
2. Метод определения функций предкодирования, позволяющий определить класс дискретных функций для предкодирования.
3. Методика оценки эффективности предкодирования поднесущих частот, позволяющая определить среднее снижение пик-фактора сигнала и выбрать наиболее эффективную для заданного типа модуляции сигналов функцию предкодирования.
4. Метод повышения помехоустойчивости OFDM-систем, позволяющий снизить вероятность появления битовой ошибки принимаемого сигнала с одновременным дополнительным снижением пик-фактора.
Обоснованность и достоверность результатов диссертации базируется на известных теоретических положениях. Правильность используемых математических моделей и их соответствие реальным процессам подтверждается данными проведенных численных, имитационных и реальных экспериментов.
Апробация результатов. Основные результаты диссертационной работы были обсуждены на VIII Всероссийской молодёжной научной конференции «Мавлютовские чтения», г. Уфа, 2014; XV-XVII Международной научно-технической конференции «Проблемы техники и технологии телекоммуникаций», г. Казань, 2014, г. Уфа, 2015, г. Самара. 2016г.; Международной научно-
технической конференции «Прикладная электродинамика, фотоника и живые системы - 2016», г. Казань; XVI Международной научно-технической конферении «Физика и технические приложения волновых процессов», г. Миасс, 2018г., на семинарах кафедры ТС УГАТУ, г. Уфа, 2014-2018.
Публикации. По материалам диссертации опубликованы 9 научных работ, в том числе 4 статьи в рецензируемых научных журналах из перечня ВАК, 5 докладов в сборниках трудов международных и российских конференций.
Личный вклад. Постановка основных задач исследования осуществлена научным руководителем. Основные результаты диссертации получены автором самостоятельно. Самостоятельно разработаны: математическая модель OFDM передатчика, использующего предкодирование, методы уменьшения пик-фактора; имитационные модели, реализующие предложенные методы. Также автором диссертации самостоятельно проведено моделирование в пакете Matlab, собрана установка для проведения эксперимента, проведено экспериментальное исследование, сняты полученные в ходе эксперимента характеристики сигнала. Самостоятельно обработаны результаты экспериментов.
В перечисленных в диссертации работах соискателем лично получены следующие результаты:
- в работе [31] проведено исследование метода отсечения с использованием оконных функций и разработка имитационной модели для уменьшения пик-фактора сигнала;
- в работах [41-43] рассмотрен способ оценки различных типов формирующих фильтров на межсимвольную и межканальную интерференцию в беспроводных системах связи;
- в работе [50] проведен анализ существующих методов уменьшения пик-фактора и проведено исследование метода предкодирования поднесущих частот;
- в работах [65, 66] разработан метод компенсации межсимвольных искажений и определения оптимального коэффициента скругления в беспроводных системах связи;
- в работе [73] разработана структура тракта формирования OFDM-сигнала, позволяющая снизить пик-фактор сигнала OFDM без ухудшения качества связи, а также методика оценки эффективности предкодирования поднесущих частот;
- в работе [77] разработан метод повышения помехоустойчивости OFDM-систем, позволяющий снизить вероятность появления битовой ошибки принимаемого сигнала с одновременным дополнительным снижением пик-фактора.
Данные работы отражают основное содержание диссертационной работы. Основные положения и результаты, выносимые на защиту, представлены в публикациях: по главе 1 - [31, 41, 42, 43, 50]; по главе 2 - [65, 66, 73]; по главе 3 -[77]; по главе 4 - [73, 77]. Одна работа написана автором единолично, другие совместно с научным руководителем или другими членами научного коллектива.
Структура и объем диссертации. Диссертация состоит из введения, четырех глав, заключения, списка используемой литературы и приложений. Содержит 113 с. машинописного текста, 63 рисунков, список использованной литературы из 79 наименований, приложений на 10 с.
Во введении сформулирована основная цель исследования, обоснованы его научная новизна и актуальность, изложены основные положения и результаты, выносимые на защиту.
В первой главе проведен анализ технологии ортогонального частотного уплотнения, определены методы формирования OFDM многочастотного сигнала, приведена структурная схема приемопередатчика OFDM-сигналов, рассмотрены достоинства и недостатки технологии OFDM, такие как влияние больших значений пик-фактора на передаваемые сигналы. Определено используемое понятие пик-фактора. Проведен анализ литературы в области решения поставленных задач. Рассмотрены труды отечественных и зарубежных ученых, работающих в данной области. Приведен краткий обзор подходов и методов, применяемых в OFDM системах связи для уменьшения пик-фактора. Таким образом, выбрано направление исследования, обоснована его актуальность и сформулированы задачи исследования.
Во второй главе представлена разработка математической модели OFDM-передатчика на основе различных типов обработки поднесущих с помощью предварительного кодирования излучаемого сигнала, а также разработка метода уменьшения пик-фактора с помощью предкодирования различными типами дискретных функций, не затрагивающего ортогональность поднесущих. Приводится описание имитационной модели, разработанной в среде программирования Ма1ЬаЬ, с помощью которой оцениваются такие параметры ОБОМ-системы как мгновенное значение пик-фактора, коэффициент битовой ошибки при приеме сигнала, выборочная (эмпирическая) функция распределения ОСОБ.
В третьей главе представлена разработка метода повышения помехоустойчивости систем связи с ортогональным частотным мультиплексированием, использующего совместное использование предкодирования поднесущих и поворота сигнального созвездия, а также разработка имитационной модели передающего устройства, использующего обработку сигнала и снижающего его пик-фактор. Сделаны выводы и представлены зависимости вероятности битовой ошибки от различных значений сигнал-шум.
В четвертой главе представлена разработка методики повышения помехоустойчивости в сетях цифрового телевидения стандарта DVB-T2, основанной на использовании предкодирования поднесущих и повороте сигнального созвездия, а также проведение и результаты экспериментального исследования системы передачи информации, использующей метод снижения пик-фактора, разработанный в главе 3. Показано, что использование предкодирования совместно с поворотом сигнального созвездия является эффективным средством снижения пик-фактора для систем цифрового телевидения стандарта ЭУБ-Т2.
В заключении приведены основные результаты и выводы диссертационной работы.
В приложениях приведены код программы, реализующей разработанную имитационную модель, разработанный с помощью программного пакета MatLab, а также код программы на языке Verilog для ПЛИС Altera Stratix III.
ГЛАВА 1 АНАЛИЗ МЕТОДОВ ПОВЫШЕНИЯ ЭФФЕКТИВНОСТИ СИСТЕМ СВЯЗИ, ИСПОЛЬЗУЮЩИХ ТЕХНОЛОГИЮ OFDM
1.1 Обзор технологии OFDM
Схемы модуляции с большим количеством несущих были разработаны для обеспечения надежности и высокой скорости передачи данных по проводным и беспроводным каналам. Они используют принцип мультиплексирования с частотным разделением (FDM). Данные передаются на неперекрывающихся несущих частотах, а в приемнике используется фильтр для демодуляции принятых данных. Технология OFDM (Orthogonal Frequency-Division Multiplexing - мультиплексирование с ортогональным частотным разделением каналов) была предложена в качестве схемы специальной модуляции с множеством несущих в условиях растущей потребности в высокой скорости передачи информации, т.к. требовались способы модуляции с более высокой спектральной эффективностью. OFDM стала ведущей технологией для высокоскоростной передачи данных из-за ее высоких показателей эффективности и устойчивости против негативного влияния в канале связи [1].
Технология OFDM является схемой модуляции и мультиплексирования для высокоскоростной передачи данных. Она широко применяется в современных сетях широкополосного беспроводного доступа. Технология OFDM была включена в проводных приложениях, таких как передача данных по линиям электропередачи (PLC), цифровые абонентские линии (DSL); в беспроводных широковещательных приложениях, такие как цифровое аудио вещание (DAB) и цифровое телевизионное вещание (DVB) [2]. Кроме того, она широко реализована в беспроводных локальных сетях (WLAN); в стандартах IEEE 802.11a/g/n/ac [2-4], IEEE 802.16d/e[2,7], ETSI HIPERLAN/ 2; в беспроводных системах стандартов LTE и LTE-A [5,6] и сверхширокополосных (UWB) системах передачи данных. В настоящее время она рассматривается в качестве кандидата для поддержки будущих систем связи пятого поколения (5G) [8-10].
В основе подхода лежит передача информации по большому количеству частот (поднесущих). Спектр OFDM сигнала состоит из множества поднесущих частот, каждая из которых ортогональна любой другой, то есть произведение двух любых поднесущих равно нулю на интервале времени. Технология OFDM обеспечивает расширение полосы пропускания за счет эффективного увеличения спектральной эффективности, также позволяет повысить скорость передачи данных без изменения позиционности модуляции. Это возможно за счет разделения одного высокоскоростного канала на множество низкоскоростных потоков. Сигналы на поднесущих являются частотно-независимыми, и передача сигнала на отдельной поднесущей не влияет на другие поднесущие. Все потоки информации могут передаваться в одном канале на множестве поднесущих. Так как каналы пересекаются между собой по частоте, существует возможность увеличения числа каналов. Суммарный OFDM сигнал в таком случае представляет из себя сумму множества узкополосных сигналов с медленной скоростью. Число поднесущих в одном канале может быть достаточно большим и достигать нескольких тысяч [11-15]. Помимо этого, технология OFDM позволяет уменьшить эффекты замирания сигнала, межсимвольной и межканальной интерференции. OFDM-сигнал объединяет множество каналов в неширокой полосе частот, модуляция в них происходит на невысокой скорости.
Фундаментальным принципом OFDM является разделение потока данных с высокой скоростью на много параллельных низкоскоростных потоков данных которые отображаются на ряд ортогональных поднесущих.
^aaaâsn
Frequency
Рисунок 1.1 - Спектр OFDM-сигнала
Спектры OFDM-поднесущих перекрываются друг с другом без помех, как это показано на рисунке 1.1. Из-за ортогональности между OFDM поднесущими, приемник может демодулировать переданные данные без введения между несущими частотных интервалов. Следовательно, OFDM, имеет более высокую спектральную эффективность по сравнению с обычными схемами FDM с несколькими несущими передачи.
Высокоскоростная передача возможна для многоканальных систем, поскольку передача осуществляется по нескольким каналам одновременно, причем скорость передачи в каждом низкоскоростном потоке небольшая. Это можно рассматривать как эффективный способ борьбы с межсимвольной и межканальной интерференцией. При частотном разделении каналов нужно достигнуть компромисс между уменьшением искажений в канале из-за интерференции по каналам и требуемой скоростью передачи. Также, частотный ресурс, как ограниченная величина должен использоваться как можно экономней, но плотная упаковка подканалов приведет к искажениям между подканалами. Для данных целей было предложено использовать ортогональные несущие, т.е. сигналы всех каналов, ортогональны друг другу:
(1.1)
где Т - длительность передаваемого символа, ^ и/п - частоты п-го и т-го несущих сигналов соответственно.
Несмотря на частичное перекрытие частотными подканалами друг друга (рисунок 1.1), вследствие того, что несущие сигналы представлены ортогональными функциями, каналы будут не зависеть друг от друга в частотной области и, следовательно, отсутствие межканальной интерференции.
Из-за невысокой скорости передачи в каждом канале существует возможность введения защитного интервала между символами для борьбы с
Рисунок 1.2 - Символ OFDM с защитным интервалом
Переотраженный сигнал на приемнике попадает в область текущего символа и не влияет на последующий, то есть происходит минимизация межсимвольных искажений (МСИ). Длина защитного интервала выбирается исходя из максимальной задержки в канале и не может быть короче ее, иначе «хвосты» предыдущего символа накладываются на следующий символ и наблюдается увеличение МСИ. Для решения задачи синхронизации используются пилотные сигналы, под которые резервируется часть поднесущих
1.2 Обобщенная схема формирования сигнала в OFDM-системах
В общем случае, сигнал OFDM генерируется, с использованием методов цифровой обработки сигналов. Полученный сигнал такой системы можно представить в виде:
N-1
s(t) = £Cke2*](+к#», (1.2)
к=0
где s(t) - суммарный сигнал на всех поднесущих;
Ck - комплексная амплитуда k-й поднесущей;
N - количество поднесущих;
f - частота центральной поднесущей;
А/- разнос частот поднесущих.
Структурная схема ОБОМ-передатчика представлена на рисунке 1.3.
Рисунок 1.3 - Структурная схема ОБОМ-передатчика
На вход данной схемы поступает поток данных в виде последовательности нулей и единиц. Данная последовательность поступает на последовательно-параллельный преобразователь, где он разбивается на N параллельных каналов, из каждого такого канала сигнал поступает на блок модулятора ^РБК, М^АМ), выступающего в роли генератора комплексной амплитуды, который отображает цифровой сигнал на комплексную плоскость. Действительная и мнимая часть комплексной амплитуды соответствует синфазному и квадратурному каналам. Далее синал поступает на блок обратного преобразования Фурье. Выполнение дискретного преобразования Фурье (ДПФ) реализуется с затратой значительных вычислительных ресурсов, поэтому для снижения нагрузки на вычислительный процессор применяются алгоритмы быстрого преобразования Фурье (БПФ) [16,17]. Быстрые алгоритмы этих преобразований допускают более простую аппаратную реализацию по сравнению с непосредственной реализацией алгоритмов ДПФ.
В блоке ОБПФ формируются отсчеты сигнала s(t). К исходным отсчетам добавляется циклический префикс. Дополнительно применяется включение тренировочных последовательностей, для канальной синхронизации при приеме сигнала.
На приемной стороне происходит обратный процесс. Отличие состоит в том, что удаление циклического префикса происходит после обнаружения встроенных пилот-сигналов и процесса канальной синхронизации сигнала.
Следствием многоканальности системы являются ее преимущества, которые обусловили распространённость технологии OFDM, как средства передачи цифровых сигналов: способность противостоять многолучевому распространению, устойчивость к узкополосным помехам и частотно-селективным замираниям, увеличение битовой скорости передачи данных с помощью использования различных схем модуляции, высокая спектральная эффективность, невысокая сложность реализации цифровой обработки сигнала.
1.3 Анализ проблем технологии OFDM
Несмотря на преимущества, которые дает передача сигнала на множестве поднесущих, существуют также характерные недостатки технологи OFDM. связанные в первую очередь с неравномерным распределение мощности на поднесущих за счет использования нулевых поднесущих, пилот-сигналов и информационных несущих. К ним можно отнести несколько существенных фактров, ниже перечислены главные из них.
1. Чувствительность к межканальной интерференции (ICI - Inter Carrier Interference). Сильное влияние на OFDM сигнал межканальной интерференции связано с расположением поднесущих модулированного сигнала (рисунок 1.4). При появлении отличной от нуля разности частоты принимаемого и передаваемого сигналов происходит нарушение взаимной ортогональности несущих. Как следствие, появляются помехи, из-за влияния несущих друг на друга.
На рисунке 1.4 знаком «+» показан сигнал на k-ой несущей, а знаками «о» показаны мешающие приему сигналы на несущих k-1 и k+1.
Рисунок 1.4 - Влияние смещения частоты на межканальную интерференцию
Вследствие этого, при отличном от нуля смещении частоты приема относительно частоты передачи, межканальная интерференция сильно влияет на оценку сигнала синфазных и квадратурных компонент на поднесущих.
Смещение частот на отдельных несущих может быть вызвано не только разностью частот принимаемого и передаваемого сигналов, но и эффектом Доплера, который вызывает смещение частот на различных поднесущих в зависимости от частоты, испускаемой передатчиком
/ = /о
1
01 - V
С
(1.3)
где / - видимая наблюдателем частота; /о - исходная передаваемая частота;
V - относительная скорость движения приемника и передатчика;
Похожие диссертационные работы по специальности «Системы, сети и устройства телекоммуникаций», 05.12.13 шифр ВАК
Формирование и обработка сигналов в системах связи на основе ортогонального частотного мультиплексирования с использованием банка фильтров2020 год, кандидат наук Абенов Ренат Рамазанович
Методы и алгоритмы широкополосной передачи данных с использованием многоскоростной обработки сигналов2024 год, кандидат наук Никишкин Павел Борисович
Повышение эффективности систем цифрового вещания при OFDM-модуляции радиосигнала2018 год, кандидат наук Ле Ван Ки
Развитие методов коррекции комплексной передаточной характеристики в системах с ортогональным частотным разделением каналов и мультиплексированием: OFDM2016 год, кандидат наук Позднякова, Лидия Васильевна
Снижение ПИК-фактора случайных последовательностей многочастотных сигналов путем применения блочного кодирования и спектрально-эффективных методов манипуляции2009 год, кандидат технических наук Рашич, Андрей Валерьевич
Список литературы диссертационного исследования кандидат наук Ишмияров Арсен Арамаисович, 2019 год
СПИСОК ИСПОЛЬЗОВАННОЙ ЛИТЕРАТУРЫ
1. Сорокин, И.А. Анализ современных методов и средств повышения спектральной эффективности систем связи / И.А. Сорокин, Т.Е. Тюндина // Вестник НГИЭИ. - 2015. - №10 (53). - С.46-64
2. Шахнович, И.В. Современные технологии беспроводной связи./ Шахнович И.В. // Москва: Техносфера - 2006. - 288 с.
3. Gast, M.S. 802.11ac: A Survival Guide: Wi-Fi at Gigabit and Beyond /Mathew S. Gast. - Sebastopol: O'Reilly Media, Inc. - 2013. - 154 p.
4. 802.11ac: The Fifth Generation of Wi-Fi. Technical White Paper [Электронный ресурс] // Сайт компнии Cisco Sysems. 2014. URL: http://www.cisco.com/c/en/us/products/collateral/wireless/aironet-3600-series/white_paper_c11-713103.pdf (дата обращения: 25.05.2017).
5. Тихвинский, В. О. Сети мобильной связи LTE: технологии и архитекту-ра / В. О. Тихвинский, С.В. Терентьев, А.Б. Юрчук. - М.: Эко-Трендз. -2010. - 284 с.
6. LTE for UMTS: OFDMA and SC-FDMA Based Radio Access / edited by Harri Holma and Antti Toskala - Chichester: John Wiley & Sons, Ltd, 2009.
7. Вишневский, В.М. Энциклопедия WiMAX. Путь к 4G / В.М. Вишневский, С.Л. Портной, И.В. Шахнович. - М.: Техносфера. - 2009. - 472 с.
8. Farhang-Boroujeny, B. OFDM Inspired Waveforms for 5G / B. Farhang-Boroujeny, H. Moradi// IEEE COMMUNICATIONS SURVEYS & TUTORIALS. -2016. -VOL. 18. - № 4. - pp. 2474-2492.
9. Opportunities in 5G Networks: A Research and Development Perspective / Edited by Dr. Fei Hu. - CRC Press, 2016. - 556 p.
10. Schaich, F. Waveform contenders for 5G - suitability for short packet and low latency transmissions / Schaich, F., Wild T., Chen Y. // Vehicular Technology Conference (VTC Spring) 2014 IEEE 79th.
11. Зубарев, Ю.Б. Видеоинформационные технологии систем связи / Ю.Б. Зубарев, Ю.С. Сагдуллаев, Т.Ю. Сагдуллаев // Спутник+, 2011. - 295 с.
12. Дворкович, А.В. Цифровые видеоинформационные системы (теория и практика) / В.П. Дворкович, А.В. Дворкович // Москва: Техносфера. - 2012. -с. 779-785.
13. Скляр, Б. Цифровая связь. Теоретические основы и практическое применение / Б. Скляр; — М.: изд. дом «Вильямс», 2007. - 1104 с.
14. Шинаков, Ю.С. Пик-фактор сигналов OFDM и нелинейные искажения в радиооборудовании систем беспроводного доступа / Ю.С. Шинаков // Цифровая обработка сигналов. - №4. - 2012. - с. 58-65.
15. Дж. Прокис Цифровая связь. Пер. с англ./ Под ред. Д.Д. Кловского. -М.: Радио и связь, 2000. - 800с.
16. Оппенгейм А.В., Шафер Р.В. Цифровая обработка сигналов: Пер. с англ./Под ред. С.Я. Шаца. - М.: Связь, 1979. -416с.
17. Нуссбаумер Г. Быстрое преобразование Фурье и алгоритмы вычисления сверток: Пер. с англ. - М.: Радио и связь 1985. - 248 с.
18. Ochiai, H. On the distribution of the peak-to-average power ratio in OFDM signals / H. Ochiai, K. Imai // IEEE Trans. Commun. - 2001, V.49. - №2. - pp. 282289.
19. Slimane, S.B. Reducing the peak-to-average power ratio of OFDM signals through precoding / S.B. Slimane // IEEE Trans. Veh. Technol. - 2007. - vol. 56, no.2. - pp. 686-695.
20. Falconer, D. Linear precoding of OFDMA signals to minimize their instantaneous power variance/ D. Falkoner // IEEE Trans. on Commun. - 2011. - vol. 59. - No. 4. - pp. 1154-1162.
21. Palicot, J. Power ratio definitions and analysis in single carrier modulations / J. Palicot , Y. Lo^t // 13th European Signal Processing Conference (EUSIPCO). -2005. -pp. 326-329.
22. Reine, R. Pulse Shaping Approach to PAPR Reduction for Multiuser OFDM Systems/ R.Reine, Z.Zang // 11th IEEE Conference on Industrial Electronics and Applications (ICIEA). - 2016. - pp. 2076-2080.
23. Ochiai, H. On Clipping for peak power reduction of OFDM signals / H. Ochiai, K. Imai // IEEE GTC. - 2000. - vol. 2. - pp. 731-735.
24. Ifeachor, E.C. Digital Signal Processing-a Practical Approach / E.C. Ifeachor and B.W. Jervis // Prentice Hall, 2 edition - 2002. - 960p.
25. Chu, D.C. Polyphase codes with periodic correlation properties / D.C. Chu // IEEE Trans. Info. Theory. -1972. - vol.18. - №4. -pp. 531-532.
26. Ochiai, H. Block coding scheme based on complementary sequences for multicarrier signals / H. Ochiai, H. Imai // IEICE Trans. Fundamentals. - 1997. - E80-A(11). - pp. 2136-2143.
27. Hill, G. Peak Power Reduction in Orthogonal Frequency Division Multiplexing Transmitters / G. Hill // Ph.D. Thesis, Victoria University of Technology. - 2011.
28. Коржихин, Е.О. Методы снижения пик-фактора в системах наземного цифрового телевизионного вещания стандарта DVB-T2 / Е.О Коржихин, И.В. Власюк // T- Comm - Системы подвижной связи и цифрового телерадиовещания. Выпуск по итогам 6-й отраслевой научной конференции МТУСИ «Технологии информационного общества» . - М.: «ИД Медиа Паблишер» - 2012. - № 9 - с.83-86.
29. Li, X. Effects of Clipping and filtering on the performance of OFDM / X. Li and L.J. Cimini //. IEEE Commun. Letter. -1998 - vol. 2. - №20. - pp. 131-133.
30. Amstrong, J. Peak-to-average power reduction for OFDM by repeated clipping and frequency domain filtering / J. Amstrong // IEEE Electronics Lett. - 2002. - vol.38. - №5. - pp. 246-247.
31. Ишмияров, А.А. Разработка имитационной модели компенсации пик фактора с применением оконных функций в системах OFDM / А.А. Ишмияров, Р.Р. Мирхайдарова, И.К. Мешков // «Проблемы техники и технологии телекоммуникаций» - XVII Международная научно-техническая конференция. -2016. - С. 100-101.
32. Slimane, S.B. Peak-To-Average Power Ratio Reduction of OFDM Signals using Pulse Shaping / S.B. Slimane // IEEE Globecom. - 2000. - vol.3. - pp. 14121416.
33. Slimane, S.B. Peak-To-Average Power Ratio Reduction of OFDM Signals using Broadband Pulse Shaping / S.B. Slimane // Proc. IEEE Vehicular Tech. Conf. -2002. - vol.2. - pp. 889-893.
34. Tan, P. Analysis of the Effects of Nyquist Pulse-shaping on the Performance of OFDM Systems with Carrier Frequency Offset / P. Tan, N. C. Beaulieu // European Transactions on Telecommunications. - 2009. - V. 20. - № 1. - P. 9-22.
35. Beaulieu, N. C. Transmitter Nyquist Shaping for ICI Reduction in OFDM Systems with Carrier Frequency Offset / N. C. Beaulieu, P. Tan // Institute of Electrical and Electronics Engineers. - 2005. - V. 41. - № 13. - P. 506-509.
36. Tan, P. Reduced ICI in OFDM systems using the "better than" raised-cosine pulse / P. Tan, N. C. Beaulieu // IEEE Communication Letters. - 2004. - V. 8. -№ 3. - P. 135- 137.
37. Beaulieu, N.C. A «better than» Nyquist pulse / N.C. Beaulieu, C.C. Tan, M.O. Damen // IEEE Commun. Lett. - 2001. - №5. - pp. 367-368.
38. Assimonis, S. D. Optimized "Better Than" Raised- Cosine Pulse for Reduced ICI in OFDM Systems / S. D Assimonis, M. Matthaiou, G. K. Karagiannidis, and J. A. Nossek // IEEE International Conf. on Telecommun. -2010. - pp. 249-252.
39. Proakis, J. Contemporary Communication Systems using MATLAB / J. Proakis, M. Salehi // Canada, Brookse. - 2000. - 250p.
40. Hendranata, R.R. Pulse Shaping Approach to PAPR Reduction for OFDM Communication Systems / R.R. Hendranata // Ph.D. Thesis, Curtin University, Australia. - 2015. - 125p.
41. Ишмияров, А.А. Исследование влияния типа формирующего фильтра в COFDM на межканальную интерференцию / А.Х. Султанов, И.К. Мешков, Е.П. Грахова, А.А. Ишмияров // Проблемы техники и технологии телекоммуникаций -XIV Международная научно-техническая конференция. - Самара, 2013. - с. 22-26.
42. Ишмияров, А.А. Компенсация межсимвольных искажений на основе использования различных типов формирующих фильтров / А.А. Ишмияров, А.Г. Мешкова // «Мавлютовские чтения» - Всероссийская молодежная научная конференция. Уфа, 2013. - т.3. - с. 314-316.
43. Ишмияров, А.А. Оценка влияния различных форм импульсов на межканальную интерференцию в OFDM / А.А. Ишмияров // IX Всероссийская зимняя школа-семинар аспирантов и молодых ученых. - Уфа, 2014. - т.1. - с.87-89.
44. Sim, Z. PAPR and BER reduction in MU-MIMO-OFDM systems via a set of waveforms / Sim, Z. and Reine, R. and Zang, Z. and Gopal, L // IEEE International Conference on Signal and Image Processing Applications (ICSIPA 2017). - 2017 - pp. 55-60.
45. Sousa, E.S. Pulse shape design for teletext data transmission. E.S. Sousa, S. Pasupathy // IEEE transactions on communications. - 1983. - №31. - pp. 871-878.
46. Panayiotopoulos, I. Quasi-matched filtering in QAM symbol rate sampled receivers / I. Panayiotopoulos, A. Posondis, P. Constantinou // IEEE transactions on communications. - 2001. - №49. - pp. 360-374.
47. Cho, Yong Soo. MIMO-OFDM Wireless Communications with MATLAB. / Yong Soo Cho, Jaekwon Kim Won, Young Yang Chung, G. Kang // IEEE PRESS John Wiley & Sons. - 2010. - pp. 111-135.
48. Kim, A. W A computational complexity reduction scheme using Walsh Hadamard sequence in SLM method / A. W. Kim, J. K. Kim, H. Ryu // IEEE proc. conf. commun., circuits, and syst. - 2006 - pp. 762-766.
49. Nikookar, H. Random phase updating algorithm for OFDM transmission with low PAPR / H. Nikookar and K. S. Lidsheim // IEEE Trans. Broadcasting. - 2002. - vol. 48. - no. 2. - pp. 123-128.
50. Ишмияров, А.А. Методы снижения пик-фактора в системах с OFDM / А.А. Ишмияров, Р.Р. Мирхайдарова // «Прикладная электродинамика, фотоника и живые системы». - III Международная научно-техническая конференция. -Казань, 2016. - с.83-85.
51. Ishaq, M. I. Precoding in MIMO, OFDM to reduce PAPR (Peak to Average Power Ratio) / M. I. Ishaq., Y. A.Khan, and M. T. Gul // M.A. thesis, Linnaeus University, Sweden - 2012.
52. Khademi, S. Precoding technique for peak-to-average-power-ratio (PAPR) reduction in MIMO OFDMA systems / Seyran Khademi, Alle-Jan Van der Veen, Thomas Svantesson // IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). - 2012. pp. 3005-3008.
53. Gao, J. Non-redundant precoding and PAPR reduction in MIMO OFDM systems with ICA based blind equalization / J.Gao, Xu Zhu, Asoke K. Nandi // IEEE Transactions on Wireless Communications. -2009- vol.8. - no.6. - pp.3038-3049.
54. Qakar, F.S. A Study Of Precoding Schemes For OFDM Systems / F. S. Qakar // M.A. thesis, Middle East Technical University. - 2008.
55. Slimane, S.B. Reducing the peak-to-average power ratio of OFDM signals through precoding / S.B. Slimane // IEEE Trans. Veh. Technol. - 2007. - vol. 56. -no.2. - pp. 686-695.
56. Aboul-Dahab, M. A. PAPR Reduction Based on DFT Precoding for OFDM Signals / M. A. Aboul-Dahab, E.A. A. A. Hagras, and A. A. Elhaseeb // International Journal of Future Computer and Communication. - 2013. - vol. 2. - no. 4. - pp. 325-328.
57. Sivaram, A.V. PAPR Reduction of DHT and WHT-Precoded OFDM System for M-QAM / A. V. Sivaram and R. S. Rao // ITSI Transactions on Electrical and Electronics Engineering. - 2013. - vol. 1. - pp. 113-117.
58. Антонов, Е.О. Снижение пик-фактора многочастотных сигналов с неортогональным частотным уплотнением методом резервирования поднесущих частот / Е.О. Антонов, Д.К. Фадеев, Н.Т. Нгок, А.В. Рашич // Радиотехника. -2018. - № 1. - с. 16-23.
59. Nyquist, H. Certain topics in telegraph transmission theory / Harry Nyquist // AIEE Trans. - 1928. - №47. - pp. 617-644.
60. Sunde, E.D. Theoretical fundamentals of pulse transmission. - Part I / E.D. Sunde // BSTJ, vol.33. - 1954. - №3.
61. Cox, C. An Introduction To LTE: LTE, LTE-Advsnced, SAE And 4G Mobile Communications / C. Cox. // John Wiley & Sons. - 2012.
62. Макаров, С.Б., Цикин, И.А. Передача дискретных сообщений по радиоканалам с ограниченной полосой пропускания / С.Б. Макаров, И.А. Цинкин.
- М.: «Радио и связь», 1988.
63. Тихонов, В.И. Оптимальный прием сигналов / В.И. Тихонов. - М.: «Радио и связь», 1983.
64. Красносельский, И.Н. Квазиоптимальные импульсные сигналы для цифровых систем передачи / И.Н. Красносельский // Труды НИИР. - 1984. - №2.
65. Султанов, А.Х. Компенсация межсимвольных искажений на основе формирующих фильтров в телекоммуникационных системах / А.Х. Султанов, В.Х. Багманов, И.К. Мешков, А.Г. Мешкова, А.А. Ишмияров // Вестник УГАТУ: научный журнал Уфимск. гос. авиац. техн. ун-та. - 2013. -Т 17. -№ 6 (51).
- С. 167-176.
66. Султанов, А.Х. Методика определения оптимального коэффициента скругления спектра в беспроводных системах связи для устранения межсимвольной интерференции / А.Х. Султанов, В.В. Черных, А.Г. Мешкова, А.А. Ишмияров, И.К. Мешков // Электротехнические и информационные комплексы и системы, УГУЭС. - 2013. - Т 9. - № 2. - С. 89-95.
67. Falconer, D. Frequency domain equalization for single-carrier broadband wireless systems / D. Falconer, S. L. Ariyavisitakul, A. Benyamin-Seeyar, B. Eidson // IEEE Commun. Mag. - 2002. - vol. 40. - no. 4. - pp. 58-66.
68. Adams, J. W. FIR digital filters with least-squares stopbands subject to peak-gain constraints / J. W. Adams // IEEE Trans. on Circuits and Syst. -1991. - vol. 38. - issue 4. - pp. 378-388.
69. T.W. Parks and C.S. Burrus, Digital Filter Design, New York, Wiley,
1987.
70. S. Nordebo, Z. Zang and I.Claesson, "A semi-in_nite quadratic programming algorithm with applications to array pattern synthesis," IEEE Transactions on Circuit and Systems, II,vol.48, issue 3, p.225-232, March, 2001.
71. R.L. Streit and A.H. Nuttall, "A Note on the Semi-In_nite Programming Approach to Complex Approximation", Mathematics of Computation,40,162,599-605,1983.
72. AL-Hashmi, Zainab S. H. Peak to Average Power Ratio (PAPR) in OFDM system using some new PAPR techniques / Zainab S. H. AL-Hashmi // A graduate of University of Baghdad, College of Engineering Electronic & Communications Engineering Department. -2015. -125p.
73. Ишмияров, А.А. Метод снижения пик-фактора в системах OFDM, основанный на предкодировании поднесущих / А.Х. Султанов, И.К. Мешков, А.А. Ишмияров // Электротехнические и информационные комплексы и системы, УГНТУ. - 2018. - Т .14 - № .3 - С. 66-72.
74. Markiewicz, T. G. An Energy Efficient QAM Modulation with Multidimensional Signal Constellation / T. G. Markiewicz // International Journal of Electronics and Telecommunications. - 2016. - V.62 - № 2. - pp. 159-165.
75. Gersho, A. Multidimensional Signalling Constellations for Voiceband Data Transmission / A. Gersho, V. B. Lawrence // IEEE Journal on selected areas in communication. - 1984. - SAC-2(5). - pp.687-702.
76. Report ITU-R BT.2254-3 (03/2017) Frequency and Network Planning Aspects of DVB-T2. [Электронный ресурс] / EBU, Switzerland, Geneva. - 2017. -pp. 20-23. URL: https://www.itu.int/dms_pub/itu-r/opb/rep/R-REP-BT.2254-3-2017-PDF-E.pdf (дата обращения 01.08.2018).
77. Ишмияров, А.А. Метод повышения энергетической эффективности систем OFDM, основанный на уменьшении пик-фактора А.Х. Султанов, И.К. Мешков, А.А. Ишмияров // Физика волновых процессов и радиотехнические системы, Самарский национальный исследовательский университет. - 2018. - Т. 21 - № 3. - С. 25-31.
78. Протокол заседания Правительственной комиссии по развитию телерадиовещания от 22 сентября 2011г. №3. [Электронный ресурс] // URL: http://ufa.rtrs.ru/upload/iblock/4ce/77462c41bbe54deef6d1b07cc3f3da96.pdf (дата обращения 01.09.2018).
79. Российская Газета: Постановление Правительства Российской Федерации от 21 июня 2012 г. N 617 г. Москва "О внесении изменений в федеральную целевую программу "Развитие телерадиовещания в Российской Федерации на 2009-2015 годы" [Электронный ресурс] // Официальный печатный орган Правительства Российской Федерации. URL: https://rg.ru/2012/06/26/tv-radio-site-dok.html (дата обращения 01.09.2018).
ПРИЛОЖЕНИЕ А Код программы на языке МаЛаЬ, реализующей имитационную модель
clear all
clc
close
Исходные данные
M = 16; % Размерность созвездия fft size = 128; % Размерность БПФ fspacing=15000; fs=15000*fft_size;
Ts = 1/fs; % Период сэмплирования Fd = 0; % Доплеровский сдвиг в канале nsym = 1000; % Кол-во передаваемых символов snr = 0:0.8:24; % Шум в канале
Передатчик
source = randsrc(1, fft size*(nsym-2), 0:M-1); %Источник данных cp length = .25*fft size; % Длина префикса sp = reshape(source , fft size , nsym-2); tx = [] _
tx1 = tx2 = tx3 = tx4 = tx5 =
for i=2:nsym-1 % Модуляция
qpsk mod = qammod(sp(:,i-1), M); % последовательно-параллельное
serial to paralle = reshape(qpsk mod, fft size, 1); % Расставляем метки cp start = fft size-cp length; cp end = fft size; % ОБПФ _
ifft data matrix = ifft(serial to paralle,fft size); % Создание префикса for j=1:cp length,
cp(j,1) = ifft data matrix(j+cp start,1);
end
% Добавление префикса
ifft data = vertcat(cp,ifft data matrix); % s/p for transmission
[rows ifft data, cols ifft data]=size(ifft data); length ofdm data = rows ifft data*cols ifft data; pilot = zeros(1,length ofdm data);
ofdm signal = reshape(ifft data, 1, length(ifft data)); Signal Power = abs(ofdm signal.A2); Peak Power = max(Signal Power,[],2); Mean Power = mean(Signal Power,2);
PAPR_Orignal(i) = 10*log10(Peak_Power./Mean_Power); tx = [tx ofdm signal]; end
for i=2:nsym-1
qpsk mod = qammod(sp(:,i-1), M);
serial to paralle = reshape(qpsk mod, fft size, 1); ddg1 = dct(serial to paralle); % БСТ предкодирование ddg2 = fft(serial to paralle); % DFT предкодирование ddg3 = dht(serial to paralle); % DHT предкодирование
ddg4 = dst(serial to paralle); ddg5 = fwht(serial to paralle) cp start = fft size-cp length; cp_end = fft_size; ifft data matrix1 ifft data matrix2 ifft data matrix3 ifft_data_matrix4 ifft_data_matrix5 for j=1:cp length,
DST npeflKOflMpoBaHMe i WHT npeflKOflMpoBaHMe
ifft(ddg1,fft size) ifft(ddg2,fft size) ifft(ddg3,fft size) ifft(ddg4,fft_size) ifft(ddg5,fft size)
1) 1) 1) 1) 1)
cp1(j,1) = ifft data matrix1(j+cp start cp2(j,1) = ifft data matrix2(j+cp start cp3(j,1) = ifft data matrix3(j+cp start cp4(j,1) = ifft data matrix4(j+cp start cp5(j,1) = ifft data matrix5(j+cp start end
ifft data1 = vertcat(cp1,ifft data matrix1) ifft data2 = vertcat(cp2,ifft data matrix2) ifft data3 = vertcat(cp3,ifft data matrix3) ifft data4 = vertcat(cp4,ifft data matrix4) ifft data5 = vertcat(cp5,ifft data matrix5)
[rows ifft data1, cols ifft data1]=size(ifft data1) length ofdm data = rows ifft data1*cols ifft data1; pilot = zeros(1,length ofdm data);
ofdm signal1 ofdm signal2 ofdm signal3 ofdm signal4 ofdm signal5 Signal Power1 Signal Power2 Signal Power3 Signal Power4 Signal Power5 Peak Power1 = Peak Power2 = Peak Power3 = Peak Power4 = Peak Power5 = Mean Power1 = Mean Power2 = Mean Power3 = Mean Power4 = Mean Power5 = PAPR_Orignal1(i) PAPR_Orignal2(i) PAPR_Orignal3(i) PAPR_Orignal4(i) PAPR Orignal5(i)
reshape(ifft data1, reshape(ifft data2, reshape(ifft data3, reshape(ifft data4, reshape(ifft data5,
= abs(ofdm
= abs(ofdm
= abs(ofdm
= abs(ofdm
= abs(ofdm
max(Signal
max(Signal
max(Signal
max(Signal
max(Signal
mean(Signal
mean(Signal
mean(Signal
mean(Signal
mean(Signal
signal1. signal2. signal3. signal4. signal5. Power1,[ Power2,[ Power3,[ Power4,[ Power5,[
1, 1, 1, 1, 1, 2); 2); 2); 2); 2); ,2) ,2) ,2) ,2) ,2)
length(ifft data1) length(ifft data2) length(ifft data3) length(ifft_data4) length(ifft data5)
Power1,2) Power2,2) Power3,2) Power4,2) Power5,2)
10*log10(Peak 10*log10(Peak 10*log10(Peak 10*log10(Peak 10*log10(Peak tx1 = [tx1 ofdm signal1] tx2 = [tx2 ofdm signal2] tx3 = [tx3 ofdm signal3] tx4 = [tx4 ofdm_signal4] tx5 = [tx5 ofdm signal5] end
[cdf0, PAPR0] = ecdf(PAPR_Orignal); [cdf1, PAPR1] = ecdf(PAPR_Orignal1) [cdf2, PAPR2] = ecdf(PAPR_Orignal2) [cdf3, PAPR3] = ecdf(PAPR_Orignal3) [cdf4, PAPR4] = ecdf(PAPR_Orignal4) [cdf5, PAPR5] = ecdf(PAPR Orignal5)
Power1./Mean Power2./Mean Power3./Mean _Power4./Mean_ Power5./Mean
Power1) Power2) Power3) Power4) Power5)
figure(1)
semilogy(PAPR0,1-cdf0,'-db',PAPR1,1-cdf1,'-*y',PAPR2,1-cdf2,'-vg',PAPR3,1-cdf3,'—
sm',PAPR4,1-cdf4,':ok',PAPR5,1-cdf5,'-.+r')
legend('Original','DCT','DFT','DHT','DST','FWHT')
xlabel('PAPR0 [dB]');
ylabel('P(PAPR>PAPR0)');
tt =[ pilot tx pilot];
tt1 =[ pilot tx1 pilot];
tt2 =[ pilot tx2 pilot];
tt3 =[ pilot tx3 pilot];
tt4 =[ pilot tx4 pilot];
tt5 =[ pilot tx5 pilot];
x abs=abs(tt);
x abs1=abs(tt1);
x abs2=abs(tt2);
x abs3=abs(tt3);
x_abs4=abs(tt4);
x abs5=abs(tt5);
papr 0riginal=10*log10(Peak Power./Mean Power);
papr_DCT=10*log10(Peak_Power1./Mean_Power1);
papr_FFT=10*log10(Peak_Power2./Mean_Power2);
papr_DHT=10*log10(Peak_Power3./Mean_Power3);
papr_DST=10*log10(Peak_Power4./Mean_Power4);
papr_FWHT=10*log10(Peak_Power5./Mean_Power5);
>->
о
% Канал
>->
о
% Создаем канал Рэлея.
tau = [0 .2e-9 .5e-9 1.6e-9 2.3e-9 5e-9]; pdb = [0.189 0.379 0.239 0.095 0.061 0.037]; h = rayleighchan(Ts, Fd,tau,pdb); h.StoreHistory = 0; h.StorePathGains = 1;
h.ResetBeforeFiltering = 1; % ---------------
% приемник
>->
о
no of error=[]; no of error1=[]; no of error2=[]; no of error3=[]; no of error4=[]; no of error5=[]; ratio=[]; ratio1=[]; ratio2=[]; ratio3=[]; ratio4=[]; ratio5=[];
for ii=1:length(snr) rx1= [];
rx signal matrix1 = reshape(tt1,length(ifft data1),nsym); for j = 2: nsym-1
hx1 = filter(h,rx signal matrix1(:,j).'); a1 = h.PathGains;
AM1 = h.channelFilter.alphaMatrix; g1 = a1*AM1;
G1(j,:) = fft(g1,fft_size);
rx signal1 = awgn(hx1,snr(ii),'measured'); con1=reshape( rx signal1 , length(ifft data1),1); con1(1:cp length,:)=[];
fft data matrix1 = fft(con1,fft size); dg1 = idct(fft data matrix1); rx serial data1 = reshape(dg1, 1,fft size); fftrx1 = rx serial data1./G1(j,:);
% демодуляция
qpsk dem data1 = qamdemod(fftrx1,M);
rx1 =[rx1 qpsk dem data1];
end
[no of error1(ii),ratio1(ii)]=biterr(source , rx1); % Расчет BER end
for ii=1:length(snr) rx2= [];
rx signal matrix2 = reshape(tt2,length(ifft data2),nsym); for j = 2: nsym-1
hx2 = filter(h,rx signal matrix2(:,j).'); a2 = h.PathGains;
AM2 = h.channelFilter.alphaMatrix; g2 = a2*AM2;
G2(j,:) = fft(g2,fft_size);
rx signal2 = awgn(hx2,snr(ii),'measured'); con2=reshape( rx signal2 , length(ifft data2),1); con2(1:cp length,:)=[];
fft data matrix2 = fft(con2,fft size);
dg2 = ifft(fft data matrix2);
rx serial data2 = reshape(dg2, 1,fft size);
fftrx2 = rx serial data2./G2(j,:);
qpsk dem data2 = qamdemod(fftrx2,M);
rx2 =[rx2 qpsk dem data2];
end
[no of error2(ii),ratio2(ii)]=biterr(source , rx2); end
for ii=1:length(snr) rx3= [];
rx signal matrix3 = reshape(tt3,length(ifft data3),nsym); for j = 2: nsym-1
hx3 = filter(h,rx signal matrix3(:,j).'); AM3 = h.channelFilter.alphaMatrix; g3 = a3*AM3;
G3(j,:) = fft(g3,fft_size);
rx signal3 = awgn(hx3,snr(ii),'measured');
con3=reshape( rx signal3 , length(ifft data3),1);
con3(1:cp length,:)=[];
fft data matrix3 = fft(con3,fft size);
dg3 = idht(fft data matrix3);
rx serial data3 = reshape(dg3, 1,fft size);
fftrx3 = rx serial data3./G3(j,:);
qpsk dem data3 = qamdemod(fftrx3,M);
rx3 =[rx3 qpsk dem data3];
end
[no of error3(ii),ratio3(ii)]=biterr(source , rx3); end
for ii=1:length(snr) rx4= [];
rx signal matrix4 = reshape(tt4,length(ifft data4),nsym); for j = 2: nsym-1
hx4 = filter(h,rx signal matrix4(:,j).'); a4 = h.PathGains;
AM4 = h.channelFilter.alphaMatrix; g4 = a4*AM4;
G4(j,:) = fft(g4,fft_size);
rx signal4 = awgn(hx4,snr(ii),'measured');
con4=reshape( rx signal4 , length(ifft data4),1);
con4(1:cp length,:)=[];
fft data matrix4 = fft(con4,fft size);
dg4 = idst(fft data matrix4);
rx serial data4 = reshape(dg4, 1,fft size);
fftrx4 = rx_serial_data4./G4(j,:);
qpsk dem data4 = qamdemod(fftrx4,M);
rx4 =[rx4 qpsk dem data4]; end
[no of error4(ii),ratio4(ii)]=biterr(source , rx4); end
for ii=1:length(snr) rx5= [];
rx signal matrix5 = reshape(tt5,length(ifft data5),nsym); for j = 2: nsym-1
hx5 = filter(h,rx signal matrix5(:,j).'); a5 = h.PathGains;
AM5 = h.channelFilter.alphaMatrix; g5 = a5*AM5;
G5(j,:) = fft(g5,fft_size);
rx signal5 = awgn(hx5,snr(ii),'measured');
con5=reshape( rx signal5 , length(ifft data5),1);
con5(1:cp length,:)=[];
fft data matrix5 = fft(con5,fft size);
dg5 = ifwht(fft data matrix5);
rx serial data5 = reshape(dg5, 1,fft size);
fftrx5 = rx serial data5./G5(j,:);
qpsk dem data5 = qamdemod(fftrx5,M);
rx5 =[rx5 qpsk dem data5];
end
[no of error5(ii),ratio5(ii)]=biterr(source , rx5); end
for ii=1:length(snr) rx= [];
rx signal matrix = reshape(tt,length(ifft data),nsym); [~, c] = size(rx signal matrix); for j = 2: nsym-1
hx = filter(h,rx signal matrix(:,j).'); a = h.PathGains;
AM = h.channelFilter.alphaMatrix; g = a*AM;
G(j,:) = fft(g,fft_size);
rx signal = awgn(hx,snr(ii),'measured'); d=size(rx signal);
con=reshape( rx signal , length(ifft data),1);
con(1:cp length,:)=[];
fft data matrix = fft(con,fft size);
rx serial data = reshape(fft data matrix, 1,fft size);
fftrx = rx serial data./G(j,:);
qpsk dem data = qamdemod(fftrx,M);
rx =[rx qpsk dem data];
end
figure(2)
[no of error(ii),ratio(ii)]=biterr(source , rx); end
figure(2)
semilogy(snr,ratio, '->b',snr,ratio1, '-y',snr,ratio2, '-*k',snr,ratio3, '--m',snr,ratio4,':g',snr,ratio5,'-.r','linewidth',1); hold on;
axis([0 16 10A-6 1])
legend('Original','DCT','FFT','DHT','DST','FWHT') grid on
xlabel('Eb/N0 [dB]'); ylabel('BER')
ПРИЛОЖЕНИЕ Б Код программы на языке Verilog для ПЛИС Altera Stratix III
module stratixIII_3sl150_dsp_factory_design_top( // объявление интерфейсных сигналов модуля ada_dco, // тактовый сигнал канала a АЦП ada_or, // сигнал переполнения канала a АЦП clkin_50, // входной тактовый сигнал 50 МГц ada_d, // данные канала a АЦП user_dipsw, // состояние переключателей analog_scl, // тактовый сигнал для I2C Serial EEPROM
analog_sda, // адрес/данные в последовательном формате для I2C Serial EEPROM
ada_oe, // сигнал разрешения передачи данных для канала a АЦП
adb_oe, // сигнал разрешения передачи данных для канала b АЦП
pclk1n, // тактовый сигнал pclk1n для ЦАП
pclk0p, // тактовый сигнал pclk0p для ЦАП
pclk0n, // тактовый сигнал pclk0n для ЦАП
pclk1p, // тактовый сигнал pclk1p для ЦАП
ada_spi_cs, // сигнал выбора spi канала a АЦП
da, // данные для канала a ЦАП
db, // данные для канала b ЦАП user_led // состояние индикаторов
input wire ada_dco; // тактовый сигнал канала a АЦП
input wire ada_or; // сигнал переполнения канала a АЦП
input wire clkin_50; // входной тактовый сигнал 50 МГц
input wire [13:0] ada_d; // данные канала a АЦП
input wire [1:0] user_dipsw; // состояние переключателей
output wire analog_scl; // тактовый сигнал для I2C Serial EEPROM
output wire analog_sda; // адрес/данные в последовательном формате для I2C Serial EEPROM
output wire ada_oe; // сигнал разрешения передачи данных для канала a АЦП
output wire adb_oe; // сигнал разрешения передачи данных для канала b АЦП
output wire pclk1n; // тактовый сигнал pclk1n для ЦАП
output wire pclkOp; // тактовый сигнал pclkOp для ЦАП
output wire pclkOn; // тактовый сигнал pclkOn для ЦАП
output wire pclklp; // тактовый сигнал pclklp для ЦАП
output wire ada_spi_cs; // сигнал выбора spi канала a АЦП
output wire [13:0] da; // данные для канала a ЦАП
output wire [13:0] db; // данные для канала b ЦАП
output wire [7:0] user_led; // состояние индикаторов
wire analog_to_digital_over_run; // сигнал переполнения канала a АЦП
wire clock; // тактовый сигнал altpllO c частотой 100 МГц с фазой 00
wire clock_n; // тактовый сигнал altpllO c частотой 100 МГц с фазой 180O
wire clock_nq; // тактовый сигнал altpllO c частотой 100 МГц с фазой 270O
wire [29:O] cnt; // 30 битный счетчик
wire h; // высокий уровень (1)
wire l; // низкий уровень (0)
wire locked; // признак установки нужной частоты wire scl; // тактовый сигнал для I2C Serial EEPROM
wire sda; // адрес/данные в последовательном формате для I2C Serial EEPROM
а^р!!0 // генерация нужных тактовых сигналов на основе сигнала 50 МГц
.¡пс!к0(с!кт_50), // входной тактовый сигнал 50 МГц .с0(с1оск), // выходной тактовый сигнал 100 МГц с фазой 00 .с2(с!оск_п), // выходной тактовый сигнал 100 МГц с фазой 1800 .c3(clock_nq), // выходной тактовый сигнал 100 МГц с фазой 2700 .!оске^!оскеф); // признак установки нужной частоты
lpm_counterO b2v_inst23( // счетчик
.clock(clock), // входной тактовый сигнал .q(cnt)); // значение счетчика
assign pclkOn = ~clock_n; // тактовый сигнал 0=инверсному значению выхода с2
assign pclkln = ~clock_nq; // тактовый сигнал 1=инверсному значению выхода с3
assign user_led[0] = ~locked; // 0й индикатор=инверсному значению признака установки нужной частоты
assign user_led[7] = ~cnt[27]; // 7й индикатор=инверсному значению 27го бита счетчика
assign user_led[6] = ~cnt[24]; // 6й индикатор=инверсному значению 24го бита счетчика
assign user_led[3] = ~analog_to_digital_over_run; // индикатор 3=инверсному значению признака переполнения канала a АЦП
reg[7:0] counter=8'h00; // 8битный счетчик, инициализированный значением 0
reg[31:0] lfsr=32'hDEADBEEF; // 32битный сдвиговый регистр, инициализированный значением ODEADBEEFh
always @(posedge clock) // по каждому фронту сигнала clock выполнять следующие действия: begin
if (counter!=8'd43) counter<=counter+1; // если значение 8битного счетчика не равно 43, то увеличить его на 1
else begin //иначе
lfsr<={lfsr[31]Alfsr[6]Alfsr[5]Alfsr[1],lfsr[31:1]}; //сдвинуть регистр на 1 бит вправо, новое значение старшего бита=сумме по модулю 2 значений бит 31, 6, 5 и1
counter<=8'h00; // обнулить 8битный счетчик
end
end
assign analog_scl = scl; // тактовый сигнал для I2C Serial EEPROM
assign scl = user_dipsw[0]; // значение тактового сигнала для I2C Serial EEPROM = переключателю 0
assign analog_sda = sda; // адрес/данные в последовательном формате для I2C Serial EEPROM
assign ada_oe = l; // низкий уровень на вход разрешения канала a АЦП
assign adb_oe = h; // низкий уровень на вход разрешения канала b АЦП
assign pclkOp = clock_n;
assign pclklp = clock_nq;
assign ada_spi_cs = h; // сигнал выбора spi канала a АЦП=высокому уровню assign da = gauss_tmp[13:0]; // значение выдаваемое в канал a ЦАП=gauss_tmp
wire [15:O] gauss_tmp=lfsr[O]?gauss_out:16'h2000; // если значение младшего бита сдвигового регистра=0, то gauss_tmp=среднему значению диапазона, иначе gauss_tmp=gauss_out
assign db = ~gauss_tmp[13:0]; // значение выдаваемое в канал b ЦАП=инвертированный gauss_tmp
assign user_led[1] = scl; // отображение тактового сигнала для I2C Serial EEPROM на индикаторе 1
assign user_led[2] = sda; // отображение адреса/данных в последовательном формате для I2C Serial EEPROM на индикаторе 2
assign analog_to_digital_over_run = ada_or; // сигнал переполнения канала a АЦП assign h = 1; // значение единичного уровня assign l = 0; // значение нулевого уровня
assign scl = user_dipsw[0]; // значение тактового сигнала для I2C Serial EEPROM = переключателю 0
assign sda = user_dipsw[1]; // значение адреса/данных в последовательном формате для I2C Serial EEPROM = переключателю 1
wire[15:O] gauss_out; // шина для передачи гауссовских импульсов gauss ( // блок ПЗУ, хранящий и выдающий значения отсчетов гауссовских импульсов .address(counter), // адрес .clock(clock), // тактовый сигнал .q(gauss_out)); // выходное значение
endmodule // конец модуля
Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.