Исследование процессов 3D-структурирования в электронной литографии тема диссертации и автореферата по ВАК РФ 05.27.01, кандидат физико-математических наук Князев, Максим Александрович

  • Князев, Максим Александрович
  • кандидат физико-математических науккандидат физико-математических наук
  • 2007, Черноголовка
  • Специальность ВАК РФ05.27.01
  • Количество страниц 112
Князев, Максим Александрович. Исследование процессов 3D-структурирования в электронной литографии: дис. кандидат физико-математических наук: 05.27.01 - Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах. Черноголовка. 2007. 112 с.

Оглавление диссертации кандидат физико-математических наук Князев, Максим Александрович

Введение.

Глава 1. Электронные резисты и их применение для 3D-структурирования.

1.1. Электронные резисты.

1.2. Проявление электронных резистов.

1.3.Температурный эффект и эффект близости.

1.4. ЗБ-структурирование.

Глава 2. Технология изготовления образцов и методика эксперимента.

2.1. Материалы и установки.

2.2. Методика измерений.

Глава 3. Новый метод определения контрастности электронных резистов.

3.1. Описание метода.

3.2 Влияние параметров проявления на контрастность электронного резиста.

3.3 Реальная и эффективная контрастности электронных резистов.

3.4 Исследование способов уменьшения шероховатости электронных резистов на примере ПММА 950К.

Глава 4. Исследование зависимости поглощенной дозы от способа экспонирования и плотности тока («макс-эффект»).

4.1 Описание «макс-эффекта».

Рекомендованный список диссертаций по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Введение диссертации (часть автореферата) на тему «Исследование процессов 3D-структурирования в электронной литографии»

Актуальность работы

Трехмерные микро- и наноструктуры (ЗБ-структуры), выполненные из разнообразных материалов, в последнее время используются в различных областях, это и оптоэлектроника, и рентгеновская оптика, и микробиология. Одним из наиболее гибких и часто применяемых методов создания 3D-объектов в лабораторных условиях является ЗЭ-структурирование с помощью электронной литографии.

Электронная литография имеет широкие возможности для создания ЗБ-рельефа, но в тоже время обладает низкой производительностью, что ограничивает ее применение созданием экспериментальных структур или небольших партий для исследовательских нужд. Тем не менее, электронная литография является необходимым элементом нового метода, импринт-литографии, прогнозируемой к использованию для создания трехмерных микро- и наноструктур в промышленных масштабах. Метод импринт-литографии основан на впечатывании в полимер штампа, имеющего форму, обратную создаваемому рельефу. Создание же штампа осуществляется методом электронной литографии.

Технология электронной литографии развивается на протяжении длительного времени. Существенное внимание, соответственно, уделяется изучению свойств материалов, используемых в ней, в том числе электронным резистам. На протяжении долгого времени электронная литография в основном используется для создания планарных структур, для которых точное знание контрастности резиста не так важно, главное, чтобы она имела высокое значение. Поэтому на момент начала исследований, составивших основу данной диссертации, информации о свойствах резистов, особенно о контрастности, было явно недостаточно для успешного изготовления 3D-рельефов.

Толщина пленки электронного резиста не превышает 2мкм, поэтому невозможно создать трехмерные структуры большего размера. Следовательно, существует потребность в методе, позволяющем устранить это ограничение, что существенно расширит область применения электронной литографии для ЗО-структурирования.

Необходимость уточнения существующих методов определения контрастности резистов, разработки новых надежных способов для непосредственного формирования ЗБ-топографии микро- и наноразмеров в, например, диэлектрических материалах, определяет актуальность темы настоящей диссертационной работы.

Цель и задачи работы

Целью диссертации является совершенствование методов и процессов ЗБ-структурирования с помощью электронной литографии. Для достижения цели необходимо было решить следующие задачи:

- разработать быстрый и точный метод определения контрастности электронных резистов;

- выявить влияние условий проявления на контрастность резиста с помощью разработанного метода определения контрастности;

- разработать технологические операции, расширяющие возможности электронной литографии и позволяющие, в том числе, осуществлять формирование ЗБ-структур.

Научная новизна работы

1. Впервые с помощью нового оптического метода измерена зависимость эффективной контрастности позитивного резиста от температуры проявителя. Показано, что небольшое увеличение температуры ведет к значительному уменьшению эффективной контрастности.

2. Впервые с помощью нового оптического метода была экспериментально определена реальная (не зависящая от ускоряющего напряжения и материала подложки) контрастность позитивного резиста. Оказалась, что она в несколько раз меньше эффективной контрастности.

3. Экспериментально обнаружен новый эффект - зависимость скорости проявления электронного резиста от способа экспонирования и плотности тока (макс-эффект).

4. Разработанная для описания макс-эффекта феноменологическая модель позволила оценить его влияние на скорость проявления резиста. Оказалось, что для ПММА 95ОК в двух предельных случаях (ток экспонирования стремится к нулю и к бесконечности) скорость проявления резиста может отличаться в три раза при одинаковой дозе экспонирования. Обнаружено, что время релаксации промежуточных состояний более чем на четыре порядка больше характерного времени экспонирования резистов. Такая большая величина времени релаксации позволяет объяснить, почему влияние нагрева резиста при экспонировании на промышленных литографах практически отсутствует.

Практическая значимость работы

1. Разработанный новый оптический метод, в основе которого лежит специальная тестовая структура, может быть использован для быстрого и точного определения эффективной контрастности резистов в лабораторных и промышленных условиях, а также для исследования зависимости контрастности от разных параметров проявления и экспонирования резистов.

2. Учитывая при проектировании трехмерных структур влияние последовательности экспонирования и плотности тока на результат литографии, можно повысить качество ЗО-структурирования.

3. Разработан новый метод ЗБ-структурирования на основе электронной литографии, который может быть использован для создания трехмерных структур толщиной на порядок большей, чем толщина слоя электронного резиста.

Основные положения, выносимые на защиту

1. Новый оптический метод определения контрастности электронных резистов с помощью специальной тестовой структуры, являющийся быстрым и точным инструментом для изучения зависимости контрастности резиста от параметров проявления. Результаты исследования зависимости контрастности резиста от температуры проявителя.

2. Обнаруженная зависимость скорости проявления резиста от последовательности экспонирования и плотности тока при одинаковой дозе экспонирования (макс-эффект). Феноменологическая модель макс-эффекта, позволяющая оценить его максимальное влияние на скорость проявления резиста.

3. Новый метод ЗО-структурирования, позволяющий создание трехмерных структур толщиной на порядок большей, чем толщина слоя электронного резиста.

4. Результаты исследования спектров фотонных структур созданных в пленках резиста с красителем родамин 6G, свидетельствующие об увеличении в несколько раз интенсивности фотолюминесценции на структурах по сравнению с исходной пленкой.

Апробация работы

Материалы диссертации были представлены на следующих научных конференциях:

- The International Conference "Micro- and Nano-Engineering" (Cambridge,

United Kingdom, MNE-2003);

- The International Conference "Micro- and Nano-Electronics" (Звенигород,

ICMNE-2003);

- NANOSTRUCTURES: Physics and Technology" (St Petersburg, 2004);

- The International Conference "Micro- and Nano-Electronics - 2005"

Звенигород, ICMNE-2005);

- The International Conference "Micro- and Nano-Engineering" (Barcelona,

Spain, MNE-2006);

- Симпозиум "Нанофизика и наноэлектроника" (Нижний Новгород,

2006г);

- European Conference on Modelling and Simulation (Riga, Latvia, 2005r).

Публикации

Основные результаты диссертационной работы опубликованы в пяти журнальных статьях, а также тезисах конференций:

1. S. Zaitsev, М. Knyazev, S. Dubonos. "Fabrication of 3D photonics structures", The Int. Conference "Micro- and Nano-Electronics"// Zvenigorod, Russia, p. P2-71 (2003).

2. S. Zaitsev, M. Knyazev, S. Dubonos, A. Bazhenov. "Fabrication of 3D photonic structures"// Int. conf. on Micro- and Nano-Engineering, Cambridge, UK, p. 276-277 (2003).

3. S. Zaitsev, M. Knyazev, S. Dubonos, A. Bazhenov. "Fabrication of 3D photonic structures"//Microelectronic Engineering, vol. 73-74, p. 383-387 (2004).

4. S. Zaitsev, M. Knyazev, S. Dubonos, A. Bazhenov and Svintsov. "Method for fabrication of 3D photonic structures"// 12 Int. Symp. "Nanostructures: Physics and Technology", St Petersburg, Russia, p. 21-25 (2004).

5. A.H. Грузинцев, В.Т. Волков, С.В. Дубонос, М.А. Князев, Е.Е. Якимов. "Люминесцентные свойства ZnO-микрорезонаторов цилиндрической формы"// Физика и техника полупроводников, том 38 вып. 12, стр. 14731476 (2004).

6. M. Chukalina, S. Zaitsev, M. Knyazev, C.J. Yanegas, D. Nikolaev, A. Simionovici. "Apparatus and computer X-ray tomography: visualization of intrinsic structure, evaluation of performance and limitations"// European Conference on Modelling and Simulation, Riga, Latvia, p. 294-299 (2005).

7. S.V.Dubonos, M.A.Knyazev, A.A.Svintsov , S.I.Zaitsev. "Current density and exposure sequence effect in electron lithography"// The Int. Conference "Micro-and Nano-Electronics", Zvenigorod, Russia, p. PI-02 (2005).

8. S.V.Dubonos, M.A.Knyazev, A.A.Svintsov , S.I.Zaitsev. "Current density and exposure sequence effect in electron lithography"// Proc. SPIE, Vol. 6260, p. 917 (2006).

9. A.H. Грузинцев, B.T. Волков, M.A. Князев, E.E. Якимов. " Взаимодействие когерентных оптических связанных мод в близко расположенных трехмерных ZnO микрорезонатарах'7/ Физика и техника полупроводников, том 40 вып. 11, стр. 1402-1405 (2006).

10.M.A.Knyazev, A.A.Svintsov , S.I.Zaitsev, S.V.Dubonos. "Fast electron resist contrast definition by "fitting before measurement" approach"// Int. conf. on Micro- and Nano-Engineering, Barcelona, Spain, p. 783-784 (2006).

11.M.A.Knyazev, A.A.Svintsov , S.I.Zaitsev, S.V.Dubonos. "Fast electron resist contrast determination by "fitting before measurement" approach"// Microelectronic Engineering, vol. 84 Issues 5-8, p. 1080-1083 (2007).

Структура и объем диссертации

Диссертация состоит из введения, пяти глав, заключения и списка

Похожие диссертационные работы по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Заключение диссертации по теме «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», Князев, Максим Александрович

ЗАКЛЮЧЕНИЕ

В ходе выполнения данной работы были разработаны метод определения контрастности электронных резистов и послойный метод 3D-структурирования с помощью электронной литографии. Также были проведены исследования зависимости контрастности резистов от условий проявления, влияния плотности тока и последовательности экспонирования на скорость проявления электронных резистов. Осуществлены исследования спектров фотолюминесценции фотонных структур в резнете с добавленным в него красителем. Основные выводы сформулированы следующим образом:

1. Разработан новый оптический метод быстрого и точного определения эффективной контрастности электронных резистов с помощью специальной тестовой структуры. Из экспериментальной зависимости контрастности позитивного резиста от температуры проявителя получено, что незначительное увеличение температуры ведет к значительному уменьшению контрастности.

2. Экспериментально обнаружен новый эффект - зависимость скорости проявления электронного резиста от последовательности экспонирования и плотности тока («макс-эффект»). Для его описания была создана феноменологическая модель, позволившая установить, что скорость проявления участков резиста может отличаться в три раза при одинаковой дозе экспонирования.

3. Для получения периодических трехмерных структур предложен новый послойный метод ЗБ-структурирования на основе электронной литографии. С его помощью были созданы структуры с периодом от 0.5 до 6 микрон и максимальным количеством слоев 12. Этот метод также расширил возможности электронной литографии для создания приборов оптоэлектроники, в частности, с его помощью были созданы элементы конфокального коллиматора.

4. В пленках резиста с красителем родамином 6G были созданы двумерные фотонные кристаллы с разным периодом, а также квазикристаллы. Исследование спектров фотонных структур показало увеличение интенсивности фотолюминесценции на структурах по сравнению с исходной пленкой.

Данная работа была выполнена в Институте проблем технологии микроэлектроники и особочистых материалов РАН при помощи и содействии коллектива сотрудников, которым я приношу свою искреннюю благодарность.

Отдельно мне хотелось бы поблагодарить коллектив лаборатории «Физики и технологии мезоскопических структур», сотрудником которой я являюсь, и в особенности Фирсова Анатолия Александровича, а также её бывшего руководителя Дубоноса Сергея Валентиновича. Еще хотелось бы выразить свою благодарность Свинцову Александру Александровичу за плодотворное сотрудничество, Якимову Евгению Евгеньевичу за помощь при оптических измерениях, и конечно, моему научному руководителю Зайцеву Сергею Ивановичу.

Список литературы диссертационного исследования кандидат физико-математических наук Князев, Максим Александрович, 2007 год

1. С. A. Deckert and D. A. Peters. "Optimization of thin film wetting and adhesion behavior"// Thin solid films, vol. 68 1.sue 2, p. 417-420 (1980).

2. K. Ueberreiter. "Diffusion in Polymers"// edited by J. Crank and G. Park, "Academic Press", New York,, Chapter 5, p. 219-257 (1968).

3. K. Ueberreiter and F. Asmussen. "Velocity of dissolution of polystyrene"// J. Pol. Sci., vol. 23 Issue 103 , p. 75-81 (1957).

4. K. Ueberreiter and F. Asmussen. "Velocity of dissolution of polymers. Part I"// J. Pol. Sci., vol. 57 Issue 165, p. 187-198 (1962).

5. У. Моро, "Микролитография. Принципы, методы, материалы"// «Мир», стр. 135, 622 (1990).

6. С. Н. Никифорова-Денисова, Е. Н. Любушкин. «Термические процессы»// «Высшая школа», стр. 40-43 (1989).

7. I. Haller, М. Hatzakis, R. Srinivasan. "High-resolution positive resists for electron-beam exposure"// IBM J. Res. Develop., vol. 12, p. 251-256 (1968).

8. B. P. Van der Gaag, A. Sherer. "Microfabrication below 10nm"// Appl. Phys. Lett., vol. 56 №5, p. 481-483 (1990).

9. D. W. Keith, R. J. Soave, M. J. Rooks. "Free-standing gratings and lenses for atom optics"// Journal of Vacuum Science & Technology B, vol. 9 №6, p. 28462850 (1991).

10. W. С. B. Peatman, P. A. D. Wood, D. Porterfield, T. W. Crowe, M. J. Rooks. "Quarter-micrometer GaAs Schottky barrier diode with high video responsivity at 118 m"// Appl. Phys. Lett., vol.61 Issue 3, p. 294-296 (1992).

11. T. Tada. "Highly sensitive positive electron resists consisting of halogenated alkyl -chloroacrylate series polymer materials"// J. Electrochem. Soc., vol. 130 Issue 4, p. 912-917 (1983).

12. K. Nakamura, S. L. Shy, С. C. Tuo, С. C. Huang, "Critical dimension control of poly-butene-sulfone resist in electron beam lithography"// Jpn. J. Appl. Phys., vol.33, p. 6989-6992 (1994).

13. M. Widat-alla, A. Wong, D. Dameron, C. Fu, "Submicron e-beam process control"// Semiconductor International, p. 252 (1988).

14. Shibing Long , Zhigang Li; Xinwei Zhao, Baoqin Chen, Ming Liu. "Process study of ZEP520 positive electron-beam resist and its application in single-electron transistor"// Proceedings of the SPIE, vol. 5645, p. 255-266 (2005).

15. K. Kurihara, K. Iwadate, H. Namatsu, M. Nagase, H. Takenaka, K. Murase. "An electron beam nanolithography system and its application to Si nanofabrication"// Jpn. J. Appl. Phys., vol.34, p. 6940-6946 (1995).

16. T. Nishida, M. Notomi, R. Iga, T. Tamamura. "Quantum wire fabrication by e-beam lithographyusing high-resolution and high-sensitivity e-beam resist ZEP-5207/Jpn. J. Appl. Phys. B, vol. 31 Partt 1 № 12, p. 4508-4514 (1992).

17. J. Pacansky, R. J. Waltman. "Solid-state electron beam chemistry of mixtures of diazoketones in phenolic resins: AZ resists"// J. Phys. Chem., vol. 92 № 15, p. 4558-4565 (1988).

18. M. Kurihara, M. Komada, H. Moro-oka, N. Hayashi, H. Sano, "EBR900 processes in e-beam and laser beam lithographies for photomask production"// Proceedings of the SPIE, vol. 2437, p. 240 (1995).

19. А. Е. Novembre, R. G. Tarascon, О. Nalamasu, L. Fetter, K. J. Bolan, C. S. Knurek. "Electron-beam and x-ray lithographic characteristics of the optical resist ARCH"//Proceedings of the SPIE, vol. 2437, p. 104 (1995).

20. D. Macintyre. S. Thorns. "High resolution electron beam lithography studies on Shipley chemically amplified DUV resists"// Microelectronic Engineering, vol. 35 Issues 1-4, p. 213-216(1997).

21. P. D. Blais. "Edge acuity and resolution in positive type photo-, resist systems"// Solid-state Technol., vol. 20, p. 76-79 (1977).

22. H. Frish. "Sorption and transport in glassy polymers-a review"// Polym. Eng. Sci., vol. 20 Issue 1, p. 2-13 (1980).

23. S. Chen and J. Edin. "Fickian diffusion of alkanes through glassy polymers: Effects of temperature, diffusant size, and polymer structure"// Polym. Eng. Sci., vol. 20, p. 40-50(1980).

24. G. Park. "Diffusion in Polymers"// edited by J. Crank and G. Park, Academic Press, New York, Chapter 5, p. 140-162 (1968).

25. L. Thomas and J. Windle. "A theory of case II diffusion"// Polymer, vol. 23 Issue 4, p. 529-542 (1982).

26. F. Billmeyer. "Textbook of Polymer Science"// "Wilcv", New York, p. 33, 74, 84(1971).

27. D. Kim, W. Oldham, and A. Neureuther. "Development of Positive Photoresist"// IEEE Trans. Electron Devices, vol. 31 Issue 12, p. 1730-1736 (1984).

28. В. Grant, N. Clecak, R. Tweig, and G. Wilson. "Deep UV photoresists I. Meldrum's diazo sensitizer"// IEEE Trans Electron Devices, vol.28 Issue 11, p. 1300-1305 (1981).

29. L. Rebenfeld, P. J. Makarewicz, H. D. Weigmann, G. L. Wilkes. "Interactions between solvents and polymers in the solide state"// J. Macromol. Sci. C, vol. 15 №2, p. 279-393 (1976).

30. L. Lapick and L. Valko. "Kinetic study of dissolution of poly(vinyl chloride) in cyclohexanone"// J. Polym. Sci., vol. 9 Issue 4, p. 633-643 (1971).

31. S. Ju, H. Lu, J. Duda, and J. Vrentas. "Solvent diffusion in amorphous polymers"// Appl. Polym. Sci., vol. 26 Issue 11, p. 3735-3744 (1981).

32. О. Aboul-Nasr and R. Huang."Diffusivity and solubility of organic vapors in modified polyethylene films. I. Technique and analysis of results"// Appl. Polym. Sci., vol. 23 Issue 6, p. 1819-1831 (1979).

33. J. Vrentas, H. Lu, and J. Duda. "Effect of solvent size on diffusion in polymer-solvent systems"// J. Appl. Polym. Sci., vol. 25 Issue 8, p. 1793-1797 (1980).

34. E. Gipstein, A. Ouano, D. Johnson, and O. Need. "Parameters Affecting the Electron Beam Sensitivity of Poly(methyl methacrylate)"// IBM J. Res. Dev., vol. 21 Issue 2, p. 143-153 (1977).

35. D. Kyser and R. Pyle. "Computer Simulation of Electron-Beam Resist Profiles"// IBM J. Res. Dev., vol. 24 Issue 4, p. 426-437 (1980).

36. J. Greeneich. "Solubility Rate of Poly-(Methyl Methacrylate), PMMA, Electron-Resist"//J. Elcctrochem. Soc., vol. 121 Issue 12, p. 1669-1671 (1974).

37. M. Ballauf and B. Wolf. "Degradation of chain molecules. 1. Exact solution of the kinetic equations"// Macromolecules, vol. 14, p. 654-658 (1981).

38. M Gazard, C. Duchnese, J. Dubois, and A. Chapiro. "Lithographic technique using radiation-induced grafting of acrylic acid into poly(methyl methacrylate) films"// Polym. Eng. Sci., vol. 20 Issue 16, p. 1069-1072 (1980).

39. H. Ku and L. Scala. "Polymeric Electron Beam Resists"// Electrochem. Soc., vol. 16 Issue 7, p. 980-985 (1969).

40. C. Ting. "Record of the 11th Symposium on Electron, Ion and Laser Beam Technology"// edited by R. Thornley, "San-Francisco Press", p. 337 (1971).

41. R. Harris. "Polymethyl Methacrylate as an Electron Sensitive Resist"// Electrochem. Soc., vol. 120 Issue 2, p. 270-274 (1973).

42. J. Greeneich. "Developer Characteristics of Poly-(Methyl Methacrylate) Electron Resist"//Elecirochem. Soc., vol. 122 Issue 7, p. 970-976 (1975).

43. M. Atoda, M. Komuro, and H. Kawakatsu. "Molecular-weight dependence of developed contours in poly- (methyl methacrylate) electron resists"// J. Appl. Phys., vol. 50 № 5, 3707-3712 (1979).

44. L. Gavens, D. Hess, B. Wu, A. Bell, and D. Soong. "Ultrahigh molecular weight poly(methyl methacrylate) as an electron-beam resist"// Journal of Vacuum Science & Technology B, vol. 1 №2, p. 481-486 (1983).

45. M. Bowden, L. Thompson, and J. Ballantyne. "Poly(butene-l sufone) a highly sensitive positive resist"// Journal of Vacuum Science & Technology B, vol. 12 №6, p. 1294-1298 (1975).

46. N. Viswanathan. "Radiation chemistry of polymer degradation processes: Molecular weight distribution effects"// Polym. Sci, Polym. Chem., vol. 14 Issue 6, p. 1553-1555 (1976).

47. S. V. Babin, I. Kostitsh, A. A. Svintsov. "Direct Measurement о thermoeffect influence on resist sensitivity in EBL'7/ Microelectronic Engineering, vol. 17 Issues 1-4, p. 41-44(1992).

48. S. V. Babin, I. Kostitsh, A. A. Svintsov. "Model and measurement of resist heating effect in EBL"// Proceedings of the SPIE, vol. 1671, p. 93-97 (1992).

49. D. F. Kyser and N. S. Viswanathan. "Monte Carlo simulation of spatially distributed beams in electron-beam lithography"// Journal of Vacuum Science & Technology B, vol. 12 №6, p. 1305-1308 (1975).

50. P. M. Mankewich, L. D. Jackel, and R. E. Howard. "Measurements of electron range and scattering in high voltage e-beam lithography"// Journal of Vacuum Science & Technology B, vol. 3 №3, p. 174-176 (1985).

51. D. Chow, J. McDonald, D. King, W. Smith, K. Molnair, and A. Steckl. "An image processing approach to fast, efficient proximity correction for electron beam lithography"// Journal of Vacuum Science & Technology B, vol. 1 №4, p. 13831390 (1983).

52. M. Parikh. "Self-consistent proximity effect correction technique for resist exposure (SPECTRE)"// Journal of Vacuum Science & Technology B, vol. 15 №3, p. 931-933 (1978).

53. H. Eisenmann, T. Waas, and H. Hartmann. "PROXECCO Proximity effect correction by convolution"// Journal of Vacuum Science & Technology B, vol. 11 №6, p. 2741-2745 (1993).

54. К. Harafuji, A. Misaka, К. Kawakita, N. Nomura, H. Hamaguchi, and M. Kawamoto. "Proximity effect correction data processing system for electron beam lithography"// Journal of Vacuum Science & Technology B, vol. 10 №1, p. 133142 (1992).

55. V. V. Aristov, B. N. Gaifullin, A. A. Svintsov, S. I. Zaitsev, R. R. Jede and H. F. Raith. "Accuracy of proximity correction in electron lithography after development"// Journal of Vacuum Science & Technology B, vol. 10 № 6, p. 24592467 (1992).

56. V. V. Aristov, A. A. Svintsov and S. I. Zaitsev. "Guaranteed accuracy of the method of 'simple' compensation in electron lithography"// Microelectronic Engineering, vol. 11 Issues 1-4, p. 641-644 (1990).

57. K. Cummings, R. Frye, E. Rietman. "Using a neural network to proximity correct patterns written with a Cambridge electron beam microfabricator 10.5 lithography system"// Appl. Phys. Lett., vol. 57, p. 1431-1433 (1990).

58. J. Jacob, S. Lee, J. McMillan, and N. MacDonald. "Fast proximity effect correction: An extension of PYRAMID for circuit patterns of arbitrary size"// Journal of Vacuum Science & Technology B, vol. 10 №6, p. 3077-3082 (1992).

59. B. D. Cook, S.-Y. Lee. "Fast proximity effect correction: An extension of PYRAMID for thicker resists"// Journal of Vacuum Science & Technology B, vol 11 №6, p. 2762-2767,(1993).

60. G. Owen and P. Rissman. "Proximity effect correction for electron beam lithography by equalization of background dose"// J. Appl. Phys., vol. 54 №6, p. 3573-3581 (1983).

61. Toshio Sakamizu and Hiroshi Shiraishi. "Electron-beam nanolithography and line-edge roughness of acid-breakable resin-based positive resist"// Microelectronic Engineering, vol. 61-62 Issue 1, p. 763-770 (2002).

62. Christopher M Waits, Alireza Modafe and Reza Ghodssi. "Investigation of gray-scale technology for large area 3D silicon MEMS structures"// J. Micromech. Microeng., vol. 13, p. 170-177 (2003).

63. Stephen Y. Chou, Peter R. Krauss, and Preston J. Renstrom. "Nanoimprint lithography"// Journal of Vacuum Science & Technology B, vol. 14 №6, p. 41294133 (1996).

64. Ernst-Bernhard Kley. "Continuous profile writing by electron and optical lithography"// Microelectronic Engineering, vol. 34 Issues 3-4, p. 261-298 (1997).

65. M. Tormen, T. Borzenko G. Schmidt, J. Liu, L.W. Molenkamp. "Thermocurable polymers as resists for imprint lithography"// Electronics Letters, vol. 36 Issue 11, p. 983-984 (2000).

66. Marc Beck. "Development of nanoimprint lithography for fabrication of electrochemical transducers"// PhD thesis, Division of solid state physics, department of physics, Lund University, Sweden (2003).

67. Y. Chen, K. Peng, Z. Cui. "A lift-off process for high resolution patterns using PMMA/LOR resist stack"// Microelectronic Engineering, vol. 73-74 Issue 1, p. 278-281 (2004).

68. P. Carlberg, M. Graczyk, E.-L. Sarwe, I. Maximov, M. Beck, L. Montelius. "Lift-off process for nanoimprint lithography"// Microelectronic Engineering, vol. 67-68 Issue 1, p. 203-207 (2003).

69. S. Park, H.Schift, C. Padeste, Bernhard, Schnyder, R. Kotz, J. Gobrecht. "Anti-adhesive layers on nickel stamps for nanoimprint lithography"// Microelectronic Engineering vol. 73-74 Issue 1, p. 196-201 (2004).

70. Nikolaos Kehagias, "Study of Nanoimprint Techniques for the Fabrication of 2-D and 3-D Photonic Devices"// PhD thesis, Physics Department, National University of Ireland, Cork, Irland (2007).

71. E. Delamarche, D. Juncker 1 2, H. Schmid. "Microfluidics for Processing Surfaces and Miniaturizing Biological Assays"// Advanced Materials, vol. 17 Issue 24, p. 2911-2933 (2005).

72. Kosuke Kuwabara, Masahiko Ogino, Shigehisa Motowaki and Akihiro Miyauchi. "Fluorescence measurements of nanopillars fabricated by highaspect-ratio nanoprint technology"// Microelectronic Engineering, vol. 73-74 Issue 1, p. 752-756 (2004).

73. V. A. Kudryashov, P. D. Prewett and A. G. Michette. "A new e-beam method for grey scale 3D optical elements"// Microelectronic Engineering, vol. 46 Issues 1-4, p. 209-212 (1999).

74. Masaki Nakajima, Takashi Yoshikawa, Kenji Sogo, and Yoshihiko Hirai. "Fabrication of multi-layered nano channels by reversal imprint lithography"// Microelectronic Engineering, vol. 83 Issues 4-9, p. 876-879 (2006).

75. E.Yablonovitch. "Inhibited Spontaneous Emission in Solid State Physics and Electronics"// Phys.Rev., vol. 58 № 20, p. 2059-2062 (1987).

76. S. John, "Strong Localization of Photons in Certain Disordered Dielectric Superlattices"// Phys. Rev. Lett., vol. 58, p. 2486-2489 (1987).

77. Капа Aoki, Hideki Т. Miyazaki, Hideki Hirayama, Kyoji Inoshita, Toshihiko Baba, Kazuaki Sakoda, Norio Shinya and Yoshinobu Aoyagi. "Microassembly of semiconductor three-dimensional photonic crystals"// Nature Materials, vol. 2, p. 117-121 (2003).

78. Susumu Noda, Katsuhiro Tomoda, Noritsugu Yamamoto, Alongkarn Chutinan. "Full Three-Dimensional Photonic Bandgap Crystals at Near-Infrared Wavelengths"// Science, vol. 289, p. 604-606 (2000).

79. Yurii A. Vlasov, Nan Yao, and David J. Norris. "Synthesis of photonic crystals for optical wavelengths from semiconductor quantum dots"// Adv. Mater., vol. 11 №2, p. 165-169 (1999).

80. Nikolaos Kehagias. "Study of Nanoimprint Techniques for the Fabrication of 2-D and 3-D Photonic Devices"// PhD thesis, Physics Department, National University of Ireland, Cork, Irland (2007).

81. D. Nilsson, T. Nielsen, and A. Kristensen. "Solid state micro-cavity dye lasers fabricated by nanoimprint lithography"// Review of Scientific Instruments, vol. 75, p. 4481-4486 (2004).

82. V.V. Aristov, B.N. Gaifullin, H.F. Raith, A.A. Svintsov, S.I. Zaitsev and R. Jede. "Proximity correction in electron lithography with guaranteed accuracy after development"// Journal of Vacuum Science & Technology B, vol. 10 №6, p. 24592467 (1992).

83. L.I. Aparshina, S.V Dubonos, S.V. Maksimov, A.A. Svintsov, and S.I. Zaitsev. "Energy dependence of proximity parameters investigated by fitting before measurement test"// Journal of Vacuum Science & Technology B, vol.15 №6, p. 2298-2302 (1997).

84. S.V.Dubonos, B.N.Gaifullin, H.F.Raith, A.A.Svintsov and S.I.Zaitsev. "Proximity correction for 3D structures"// Microelectronic Engineering, vol. 27 Issues 1-4, p. 195-198 (1995).

85. H. Ашкрофт и H. Мермин. "Физика твердого тела"// «МИР», стр. 129 (1979).

86. Kurt Busch and Sajeev John. "Photonic band gap formation in certain self-organizing systems"// Phys. Rev. E, vol. 58 №3, p. 3896-3908 (1998).

87. Д. Гартия. «Квазикристаллы»// УФН, том 156 вып. 2, стр. 347-364 (1988).

88. N. G. de Bruijn. "Algebraic theory of Penrose non-periodic tilings"// Ned. Akad. Wetensch., Proc. Ser A, vol. 43, p. 39-66 (1981).

89. Michel Duneau and Andre Katz. "Quasiperiodic Patterns"// Phys. Rev. Let., vol. 54 № 25, p. 2688-2691 (1985).

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.