Исследование методов формирования структур с критическими размерами до 10 нм электронно-лучевой литографией на основе HSQ резиста тема диссертации и автореферата по ВАК РФ 00.00.00, кандидат наук Шишлянников Антон Валерьевич

  • Шишлянников Антон Валерьевич
  • кандидат науккандидат наук
  • 2021, ФГБУН Институт проблем технологии микроэлектроники и особочистых материалов Российской академии наук
  • Специальность ВАК РФ00.00.00
  • Количество страниц 116
Шишлянников Антон Валерьевич. Исследование методов формирования структур с критическими размерами до 10 нм электронно-лучевой литографией на основе HSQ резиста: дис. кандидат наук: 00.00.00 - Другие cпециальности. ФГБУН Институт проблем технологии микроэлектроники и особочистых материалов Российской академии наук. 2021. 116 с.

Оглавление диссертации кандидат наук Шишлянников Антон Валерьевич

Введение

Глава 1. Анализ современного применения электронно-лучевой литографии, а также проблем при достижении максимального разрешения

Электронные резисты и их свойства

Рассеяние электронов в резисте

Дозовые характеристики резистов. Чувствительность и контрастность

Эффект близости и методы его коррекции

Шероховатость края линии и методы ее минимизации

Негативный электронный резист XR-1541 HSQ

Плазмохимическое травление как механизм переноса топологии в различные функциональные слои

Травление кремния

Травление SiO2

Травление диэлектриков

Травление металлов

Глава 2. Методы исследований и оборудование

Глава 3. Исследование влияния режимов проявления на параметры топологического рисунка HSQ резиста

3.1. Влияние температуры проявления на шероховатость края экспонируемых линий

Постановка эксперимента

Результаты и обсуждение

Выводы

3.2. Влияние температуры проявления на контраст электронного резиста HSQ

Постановка эксперимента

Результаты и обсуждение

Выводы

Глава 4. Моделирование эффекта близости

Глава 5. Исследование плазмостойкости электронного резиста HSQ для целей прототипирования приборов наноэлектроники

Постановка эксперимента и методы измерений

Электронно-лучевая литография

Плазмохимическое травление

Результаты и обсуждение

Заключение. Основные результаты и выводы

Список цитируемой литературы

Рекомендованный список диссертаций по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Введение диссертации (часть автореферата) на тему «Исследование методов формирования структур с критическими размерами до 10 нм электронно-лучевой литографией на основе HSQ резиста»

Введение

Обоснованность и актуальность темы исследования

Безмасочная электронно-лучевая литография позволяет достичь нанометрового разрешения для отдельных линий, а также может быть использована для создания элементов наноустройств, поэтому перспективы развития данного метода в настоящее время особенно актуальны, в частности для создания прототипов наноразмерных транзисторов интегральных схем. В последние годы все чаще наблюдается использование электронно-лучевой литографии в качестве наиболее перспективного метода формирования нанометровых топологических элементов полупроводниковых структур, который может быть с успехом использован при изготовлении критических элементов плазмонных устройств, fin транзисторов, приборов молекулярной электроники, приемников ТГц-диапазона и других. В частности, в настоящее время безмасочная электронно-лучевая литография становится всё более привлекательным, наименее затратным решением, которое позволяет быстро освоить технологии формирования суб-нанометровых размеров топологических элементов и применить их при разработке устройств микро- и наноэлектроники.

Также стоит выделить потенциальные преимущества использования электронного резиста на основе водород-силсесквиоксана HSQ, который позволяет, ввиду своего сверхвысокого разрешения, формировать изолированные структуры с критическими размерами 7-8 нм.

На основе вышеизложенного анализа можно сделать вывод, что интерес со стороны научного сообщества к применению электронно-лучевой литографии при создании наноразмерных топологических элементов структур является особенно актуальным и неуклонно растет ввиду ее сверхвысокого разрешения и низких финансовых затрат в сравнении с иммерсионной литографией и литографией на основе глубокого ультрафиолета, что подтверждается тенденцией публикуемых

работ в данной области. Поэтому исследование процессов электронной литографии высокого разрешения, представляющей цель исследования, является актуальной задачей и определяет развитие технологии создания опытных приборов наноэлектроники и имеет перспективы малосерийного производства специальных схем.

Целью диссертационной работы является:

Исследование и разработка базовых технологических принципов создания периодических структур с высокой плотностью топологических элементов с критическими размерами до 10 нм в кремнии на основе электронного резиста HSQ с использованием электронно-лучевой литографии с дальнейшей возможностью создания прототипов перспективных приборов наноэлектроники.

Основными задачами диссертационной работы являются:

• достижение предельного разрешения в HSQ резисте за счет улучшения контраста резиста путем вариации параметров проявления (использование повышенных и пониженных температур проявления в сравнении с традиционной температурой);

• минимизация шероховатости края экспонируемых линий в резисте в зависимости от параметров проявления (использование повышенных и пониженных температур проявления в сравнении с традиционной температурой);

• компьютерное моделирование влияния эффекта близости с целью оптимизации разрешающей способности в системе резист-пучок;

• исследование селективности резиста HSQ в анизотропных технологических процессах передачи рисунка маски в различные функциональные слои материалов, применяемых в наноэлектронике.

Научная новизна работы:

1. Разработана методика повышения разрешающей способности и уменьшения шероховатости края экспонируемых линий в резисте HSQ.

2. Температура проявления электронного резиста HSQ является дополнительным фактором, позволяющим управлять контрастом резиста. Наблюдалось максимальное увеличение значения контраста более чем на 100% относительно традиционной температуры проявления. При этом впервые установлена немонотонная зависимость величины контраста от температуры проявления.

3. Использование пониженных температур проявления от -5 °С до 7 °С резиста HSQ способствует уменьшению критического размера экспонируемых структур при фиксированной дозе облучения в сравнении с традиционной температурой, а также способствует минимизации шероховатости края экспонируемых линий.

4. Проведено моделирование влияния эффекта электронной близости, получены значения коэффициентов, входящих в трехмерную функцию близости, что в дальнейшем можно учитывать при экспонировании структур. Показано, что в интересующем диапазоне критических размеров 10-22 нм плотность поглощенной энергии электронов в резисте ^(г^)) имеет заметный градиент по радиусу от оси пучка, что позволяет оптимизировать разрешающую способность в системе резист-пучок.

5. Исследование селективности анизотропного плазмохимического травления

различных функциональных материалов (монокристаллический кремний,

слои металлического Та и слои диэлектриков SiO2, А1203, НЮ2, Si3N4, а

также пористого low-k диэлектрика на основе органосиликатного стекла,

нанесенных на кремниевые положки), по отношению к негативному

электронному резисту на основе водород-силсесквиоксана HSQ показало,

что резист HSQ может применяться для создания прототипов приборов

наноэлектроники с критическими размерами до 10 нанометров, и

аспектными отношениями до 1:10 при структурировании широкого спектра

5

материалов.

Практическая значимость работы:

Были определены детали маршрута формирования маски и переноса изображения при создании периодических структур с высокой плотностью топологических элементов с критическими размерами до 10 нм в кремнии, что впоследствии может быть применено при прототипировании приборов наноэлектр оники.

Основные положения, выносимые на защиту

1. Температура проявления электронного резиста на основе водород-силсесквиоксана HSQ является дополнительным фактором, позволяющим управлять контрастом резиста. При этом контраст немонотонно зависит от температуры проявления.

2. Проявление резиста HSQ при пониженных температур от -5 °С до 7 °С способствует усилению контраста резиста. Показатель контрастности у составил 12 ± 1,7, что более чем на 100% выше показателя контраста (у=5.4) при температуре проявления, указанной в спецификации резиста.

3. Пониженные температуры проявления от -5 °С до 7 °С резиста HSQ способствуют уменьшению критического размера экспонируемых структур при фиксированной дозе облучения в сравнении с температурой, рекомендуемой спецификацией, а также способствуют минимизации шероховатости края экспонируемых линий.

4. Плотность поглощенной энергии электронов в резисте имеет заметный градиент по радиусу от оси пучка электронов, что позволяет оптимизировать разрешающую способность в системе резист-пучок.

5. Резист на основе водород-силсесквиоксана HSQ может применяться как маска для создания прототипов приборов наноэлектроники с критическими размерами до 10 нанометров и менее при структурировании широкого

спектра материалов - монокристаллический кремний Si, слои металлического Ta и диэлектриков SiO2, Al2O3, HfO2, Si3N4, пористого low-k диэлектрика OSG, обладая селективностью в анизотропных плазмохимических процессах до 1:10.

Достоверность полученных результатов и выдвигаемых на защиту научных положений обеспечивается использованием современных методов исследования, общепризнанных физических теорий и общеизвестных экспериментальных методик. Результаты работы были доложены на международных конференциях.

Апробация работы: всего по результатам работы опубликовано 5 статей в рецензируемых журналах (ВАК, Scopus) и 7 тезисов докладов на российских и международных конференциях (Proceedings of the International Conference "Micro-and Nanoelectronics - 2018; Международный Форум Микроэлектроника 2019, Школа Молодых Ученых; XIII Международная конференция «Кремний 2020»; Международный Форум Микроэлектроника 2021, Школа Молодых Ученых; ICMNE-2021).

Список рецензируемых публикаций автора

1. Красников Г.Я., Гущин О.П., Шишлянников А.В., Горнев Е.С., Орликовский Н.А., Татаринцев А.А. «Исследование технологических параметров современных электронных резистов», Электронная техника. Серия 3: Микроэлектроника, том 3, 2017, с. 33-52.

2. Татаринцев А.А., Шишлянников А.В., Руденко К.В., Рогожин А.Е., Иешкин А.Е. «Влияние температуры проявления на контраст электронного резиста HSQ», Микроэлектроника, том 49, №3, 2020, с. 163-169. DOI: 10.31857/S0544126920030060

3. Tatarintsev A.A., Shishlyannikov A.V., Rudenko K.V., Rogozhin A.E., Ieshkin A.E. «The effect of temperature on the development of a contrast HSQ electronic resist», Russian Microelectronics, V. 49, №3, 2020, P. 151-156. (Scopus) DOI: 10.1134/S1063739720030063

4. Мяконьких А.В., Шишлянников А.В., Татаринцев А.А., Кузьменко В.О., Руденко К.В., Горнев Е.С. «Исследование плазмостойкости электронного резиста HSQ высокого разрешения для прототипирования приборов наноэлектроники», Микроэлектроника, том 49, №5, 2021. DOI: 10.31857/S0544126921050045

5. A. V. Miakonkikh, A. V. Shishlyannikov, A. A. Tatarintsev, V. O. Kuzmenko, K. V. Rudenko, E. S. Gornev. «Study of the Plasma Resistance of a High Resolution e-Beam Resist HSQ for Prototyping Nanoelectronic Devices», Russian Microelectronics, V. 50, №5, 2021, P. 297-302. (Scopus)

DOI: 10.1134/S1063739721050048

Личный вклад автора: автором были проведены экспериментальные

исследования по экспонированию образцов на электронно-лучевом литографе с

последующим проявлением в химических растворах, их анализ с применением

сканирующего электронного микроскопа, а также обработка полученных

результатов с использованием различного программного обеспечения. Автором

была предложена методика проявления экспонированных структур в резисте HSQ

при пониженных температурах в водном растворе 1% NaOH и 4% NaCl. Данный

подход способствовал значительному усилению контраста используемого резиста

в сравнении с традиционной температурой проявления, минимизации

шероховатости края линий экспонированных структур и критических размеров

формируемых элементов. Автором была решена задача по моделированию

влияния эффекта близости при экспонировании, в ходе которой определены

параметры, входящие в трехмерную функцию близости. Был построен график

плотности поглощенной энергии в резисте, которая в интересующем диапазоне

критических размеров 10-22 нм имеет заметный градиент по радиусу от оси

пучка, что в дальнейшем позволило оптимизировать разрешающую способность в

системе резист-пучок. Автором провел анализ результатов по исследованию

селективности анизотропного плазмохимического травления различных

функциональных слоев материалов, применяемых в микроэлектронике, по

8

отношению к резисту HSQ, на основании которых определены параметры плазмохимического травления, необходимые для создания прототипов приборов наноэлектроники с критическими размерами до 10 нанометров и аспектными отношениями до 1:10.

Использование результатов работы: результаты выполненной работы использованы для проведения исследований в Физико-технологическом институте имени К.А. Валиева Российской академии наук.

Структура диссертационной работы

Диссертация состоит из введения, пяти глав, заключения (основных выводов), списка цитируемой литературы. Первая глава является обзором научных трудов по теме диссертации, где приводятся основные сведения, связанные с поставленными задачами диссертационной работы.

Во второй главе описано используемое в работе экспериментальное оборудование, методы исследования и программное обеспечение, примененное для анализа и обработки полученных в ходе работы результатов.

Третья глава посвящена исследованию влияния повышенных и пониженных температур проявления резиста HSQ в щелочно-солевом растворе №ОН-№аС1 в сравнении с указанной в спецификации. Изучено влияние температурного фактора на контраст резиста, шероховатость края экспонируемых линий и критический размер элементов.

Четвертая глава посвящена компьютерному моделированию процесса экспонирования электронным лучом с целью коррекции возникающего эффекта близости для оптимизации разрешающей способности в системе резист-пучок.

В пятой главе исследована селективность анизотропного

плазмохимического травления различных функциональных слоев

(монокристаллический кремний, слои металлического Та и слои диэлектриков

SiO2, А1203, НТО2, Si3N4, а также пористого low-k диэлектрика на основе

органосиликатного стекла), по отношению к резисту HSQ для определения

9

оптимальных параметров процессов травления при формировании и переносе топологии в указанные выше слои.

Заключение содержит основные выводы по результатам диссертационной работы.

Глава 1. Анализ современного применения электронно-лучевой литографии, а также проблем при достижении максимального разрешения

Дифракционный предел разрешения, задаваемый критерием Рэлея, определяет современные пути увеличения разрешающей способности промышленной оптической литографии:

п,

где ат - минимально возможный разрешаемый размер элемента,

Я _ в

длина волны

используемого излучения, п - показатель преломления среды, - половина апертурного угла, k - коэффициент пропорциональности (рис. 1).

Рис. 1. Схема системы проекционной литографии.

Один из путей - уменьшение длины волны излучения, на которой происходит экспонирование, что приводит к использованию эксимерных лазеров на основе F2, Аг и Н2. Другой путь - уменьшения значения коэффициента k, который определяется физическими свойствами светового поля. Данный путь

имеет три возможных решения: 1) использование фазосдвигающих масок; 2) обработка поверхности используемого резиста кремнием или кремнийсодержащими реактивами; 3) увеличение контрастности используемого резиста. Третий путь - увеличение числовой апертуры объектива (NA) [1].

Современная полупроводниковая промышленность на данном этапе развития уже исчерпала возможности фотолитографии, что заставляет искать иные пути уменьшения критических размеров элементов интегральных схем: использование литографии глубокого ультрафиолета, иммерсионная фотолитография, безмасочная электронно-лучевая литография.

Электронно-лучевая литография (ЭЛЛ) в настоящее время используется, в основном, для производства фотошаблонов для оптической литографии. Однако потенциал использования ЭЛЛ этим не ограничен. Электронная литография используется при создании цифровых решетчатых структур для голографических кремниевых мета-поверхностей для приложений демультиплексирования полного углового момента в телекоммуникации, что описано в работе [2]. Также электронная литография применяется при формировании ультратонких сверхструктур, которые реализуют резонансный отражатель света с заданным профилем углового рассеяния. Исследования в данной области продемонстрированы в статье [3]. Электронная литография применялась при создании в одноэлектронном транзисторе наноразмерных электродов на основе платины с шириной сверхтонкой линии 10 нм [4]. Преимущества электронной литографии при создании наноструктур описаны в работах [5] и [6]. Отдельно стоит отметить, что электронная литография может применяться в совокупности с фотолитографией, т.н. смешанная схема «mix and match», при которой критические участки топологии прорисовываются электронным лучом, а некритические - оптической литографией. Ввиду основного достоинства ЭЛЛ как отсутствие влияния дифракции на разрешающую способность системы и отсутствие фотошаблонов, это делает данный метод наиболее перспективным и наименее затратным решением при разработке, аттестации и мелкосерийном

производстве КМОП СБИС, а также при притотипировании наноразмерных устройств интегральных схем.

Дебройлевская длина волны электрона задается формулой:

(2)

где и - ускоряющее напряжение, h - постоянная Планка, е - заряд электрона, т -

масса электрона. Для средних значений (/ = 50 кВ и (/ = 5 В длина волны л =

0,6*10"2 нм и Л = 0,6 нм соответственно, что значительно меньше критических размеров элементов в современной наноэлектронике.

Рис. 2. Схема установки для электронной литографии.

Формирование сфокусированного электронного луча происходит в колонне, основными элементами которой являются электронная пушка, бланкер, системы электронных линз (рис. 3) [7].

Рис. 3. Схематичное изображение колонны, в которой формируется

электронный пучок.

Система, образованная катодом, управляющим электродом и анодом, называется электронной пушкой, которая служит источником ускоренных электронов. Для получения электронов используют явление эмиссии, после чего электроны ускоряются электрическим полем и попадают в систему фокусирующих линз. Электронная пушка состоит из 3-х компонентов:

1. 2. 3.

Термополевой, термо- или автоэмисионный катод. Управляющий электрод (цилиндр Венельта). Анодная пластина.

Рис. 4. Схематическое изображение электронной пушки и траекторий электронов в ней. 1 - катод, 2 - венельт, 3 - анод, 4 - кроссовер.

Цилиндр Венельта - управляющий электрод, способный менять интенсивность электронного пучка путем изменения подаваемого на него напряжения относительно катода.

Кроссовер - область между венельтом и анодом, в которой происходит сужение пучка электронов. Размер кроссовера определяет диаметр пятна, образованного электронным лучом на образце. Диаметр кроссовера обусловлен тепловым разбросом скоростей, ускоряющим напряжением и фокусным расстоянием электронной пушки:

¿с *

еи (3)

Также одной из характеристикой пушки является яркость, которая определяется как плотность тока в единице телесного угла:

)

^ Л5ЛП тга3 Г°

(4)

где а - угол расхождения электронов.

Немаловажным элементом колонны является бланкер, отклоняющий электроны на диафрагму и останавливающий экспонирование.

Рис. 5. Схема устройства бланкера: а) в выключенном состоянии; б) во

включенном.

В отличие от идеальных оптических систем, в реальных наблюдается ряд факторов, приводящих к уширению минимального размера, и, как следствие, к ухудшению разрешения:

• сферические аберрации - дают уширение ds = 0.5Csa3, где ds - диаметр пучка, Cs - коэффициент сферической аберрации, а -апертурный угол;

• хроматические аберрации - дают уширение dc = Cca dE/V, где dc - диаметр пучка, Сс - коэффициент хроматической аберрации, dE - разброс энергии электронов, V- ускоряющее напряжение;

• дифракционная расходимость; размер дифракционного пятна на подложке dd = 0.6к/а, где к - длина волны электрона;

• диаметр кроссовера d0;

• аксиальный астигматизм.

Эффективный размер электронного пятна определяется как: d2 = d02 + dd2 +

2 2

dc + ds , однако слагаемыми, отвечающими за хроматическую аберрацию и дифракцию, можно пренебречь в силу их малости.

Электронные резисты и их свойства

Суть электронно-лучевой литографии состоит в облучении ускоренными

электронами поверхности, покрытой слоем резиста - специального вещества, способного менять свои структурные свойства при взаимодействии с электронами. При этом если происходит разрыв молекулярных связей, что способствует скорому растворению вещества в проявителе, то такой резист называется позитивным (например, ПММА - полиметилметакрилат), либо имеет место образование более сильных связей в молекулах, вещество становится стойким к процессам проявления - негативный резист (например, фуллерены).

Отдельно стоит отметить резисты с химическим усилением скрытого изображения (CAR - chemically amplified resists), обеспечивающих требуемое разрешение с одновременной поддержкой высокой чувствительности. Принцип работы CAR резистов основан на генерации светочувствительным компонентом небольшого количества молекул кислоты под воздействием света. Далее происходит каталитический процесс при термообработке пленки резиста, в результате чего полимерное связующее фоторезиста либо сшивается, либо меняет

полярность под воздействием образовавшейся кислоты. При сшивании полимерной основы образуется негативное изображение, при изменении полярности - позитивное.

Резисты с химическим усилением обладают более высокой чувствительностью в сравнении с резистами на основе нафтохинондиазидов, а также высоким контрастом и высоким разрешением. Однако одними из недостатков CAR резистов является чувствительность к щелочным загрязнениям в воздухе и аутгазинг, который может негативно сказываться на работе установки. CAR резисты показывают высокое разрешение, низкую шероховатость края, а также чувствительность, применимую для массового производства [9].

В то время как наиболее часто используемые органические резисты представляют собой полимеры, неорганические резисты, как правило, являются мономерными разновидностями. При облучении электронным пучком изменяется их химическая структура, что приводит к изменению скорости растворения при проявлении. По сравнению с органическими резистами неорганические резисты демонстрируют более высокий контраст (из-за большой разницы между экспонированными и неэкспонированными областями) и более высокую стойкость к травлению (из-за их химической структуры), но более низкую

Рис. 6. Механизм CAR резистов.

чувствительность. Они могут быть нанесены методом вакуумного напыления (например, галогениды металлов) или покрыты центрифугированием (например, ШО).

Рассеяние электронов в резисте

Налетающие электроны при взаимодействии с образцом подвергаются

рассеяниям, которые можно разделить на упругие и неупругие.

Под упругим рассеянием подразумевается изменение направления вектора скорости электрона, в то время как ее величина остается неизменной. Упругое рассеяние происходит при бомбардировке электронов с высокими энергиями ядер атомов, которые частично экранированы связанными электронами. Отраженный электрон может отклоняться от первоначального направления на угол в пределах

от до 18о'. Моделирование процессов упругого рассеяния строится на

использовании нерелятивистской формулы Резерфорда с учетом экранировки заряда ядра, откуда можно рассчитать сечение упругого рассеяния:

~ ( в 7

, (5)

где о - сечение рассеяния, Е - кинетическая энергия электронов, в - угол рассеяния, е -заряд электрона, 2 - атомный номер, х - коэффициент экранирования, dD. = 2я;smвdв. Данное выражение получено в предположении, что поле атомного ядра экранируется атомными электронами как в системе подвижных зарядов, то есть согласно показательному закону Дебая-Хюккеля, а также в первом борновском приближении, имеющим следующие ограничения:

¿3

11 . ; при ka < 1, (6)

— - —

■:.- при ka >> 1, (7)

где и - полная потенциальная энергия частицы во внешнем поле, а - порядок величины размеров области пространства, в котором поле заметно отличается от

нуля, т - масса электрона,

1 - скорость электрона

к _ т/2 тЕ / т, Л - 'к, Ь

кинетическая энергия электрона. Ограничения (6) и (7) нарушаются, например, при рассеянии электрона с невысокой энергией на атомах с большим атомным числом Z.

Полное сечение упругого рассеяния:

'И и

(8)

Вводя плотность вероятности р(в):

(9)

,9

Г р(в)2пзтвс1в =

и решая уравнение " " * относительно в, получаем выражение для

определения угла упругого рассеяния:

(10)

где % - случайное число в интервале (0,1). Для экранирующего потенциала %, использующегося при вычислении угла рассеяния, имеется несколько выражений, полученных разными авторами. Например, использование потенциала Томаса-Ферми даёт:

X

1 <1 1 5 43 1

■Л ■■:.'' ' " 1 ,

(11)

1/3

где s=Z /(0.885а0), а0 - радиус Бора, коэффициент 1.12 - часто используемый добавочный множитель.

Дифференциальное сечение упругого рассеяния может быть вычислено при решении квантово-механического уравнения Дирака для движения рассеивающегося электрона в центральном статическом поле атома. Выражение для дифференциального сечения упругого рассеяния электрона на уединённом атоме можно записать в виде:

(12)

где

1

¡¡10* . ^ г>1/

г! .

1

2

где ¡а*, У ^ ^с*^ , а - постоянная тонкой структуры, ^ и -

фазовые сдвиги /-ой парциальной волны, Р^Озв) и ' * (со* 0) _ многочлен и присоединённая функция Лежандра, соответственно.

При неупругом рассеянии электрона на атоме происходит изменение внутреннего состояния последнего, а также потеря части энергии налетающего электрона. При достаточно больших энергиях (Е > 0.5 кэВ) в большинстве случаев сечение ионизации атома существенно превосходит значение интегрального сечения возбуждения электронных уровней. Также известно, что сечение двух и более кратной ионизации атома электронами много меньше сечения однократной ионизации. Поэтому при моделировании переноса электронов в твёрдых мишенях при неупругом соударении можно ограничиться однократной ионизацией атомов вещества. Вследствие неупругих соударений возникают такие эффекты как:

• вторичные электроны;

• характеристическое рентгеновское излучение;

• непрерывное рентгеновское излучение;

• оже-электроны;

• колебания фононов и плазмонов;

• и др. [8]

Для моделирования процесса потерь энергии, вызванного неупругим рассеянием, сопровождающимся ионизацией, существуют несколько способов. Один из них базируется на предположении, что потеря электроном энергии происходит непрерывным образом по закону Бете. Другой подход связан с определением величины теряемой энергии в момент неупругого соударения электрона с атомом.

При моделировании непрерывных потерь энергии обычно используется нерелятивистская формула Бете для расчета потерь энергии электрона на единицу длины пути вследствие возбуждения и ионизации атомов вещества:

,

(15)

где Е - кинетическая энергия электрона; п - концентрация, - атомный номер, Ji - средний потенциал ионизации атомов ¿-го сорта. Последняя величина определяется эмпирически и равна:

/. =9.1Ь-1, -Ъ^-г:^ эВ. (16)

Похожие диссертационные работы по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Список литературы диссертационного исследования кандидат наук Шишлянников Антон Валерьевич, 2021 год

Список цитируемой литературы

1. Лозовский В. Н. Методы нанолитографии. Достижения и перспективы // Лозовский В. Н., Константинова Г. С., Лунин Л. С., Лозовский С. В. -Ростов н/Д: ТЕРРА-ПРИНТ, 2008. - 112 с.

2. Ruffato, G., Massari, M., Capaldo, P., Romanato, F. Holographic silicon metasurfaces for total angular momentum demultiplexing applications in telecom // Applied Sciences (Switzerland). 2019. V. 9. № 11. P. 2387.

3. Neder V., Ra'Di Y., Alu A., Polman A. Combined Metagratings for Efficient Broad-Angle Scattering Metasurface. // ACS Photonics. 2019. V. 6. № 4. P. 1010-1017.

4. Choi Y.Y., Teranishi T., Majima Y. Robust Pt-based nanogap electrodes with 10 nm scale ultrafine linewidth // Applied Physics Express. 2019. V. 12. № 2. 025002.

5. Shahali H., Hasan J., Wang H., Tesfamichael T., Yan C., Yarlagadda P.K.D.V. Evaluation of particle beam lithography for fabrication of metallic nano-structures // Procedia Manufacturing. 2019. V. 30. P. 261-267.

6. Zhao D., Han A., Qiu M. Ice lithography for 3D nanofabrication // Science Bulletin. 2019. V. 64. P. 865-871.

7. Россоленко А.Н. Литографии в микроэлектронике / Россоленко А.Н. Голикова Т.Е., Зверев В.Н. Методическое пособие для студентов ФОПФ МФТИ и описания лабораторных работ в ИФТТ РАН. https://mipt.ru/upload/medialibrary/53a/Lab_lithography.pdf

8. Фоторезисты для глубокого ультрафиолета // http://www.frast.ru/dalniyuf.pdf

9. ЦКП "Материаловедение и диагностика в передовых технологиях" при ФТИ им. А.Ф. Иоффе. Вводная часть к пособиям по лабораторным работам

«Взаимодействие электронного пучка с образцом», Санкт-Петербург, 2010. http://phys.spbau.ru/files/ElBeamInt_v.n1.0_1 .pdf

10.Yang J.K.W., Berggren K.K. Using high-contrast salty development of hydrogen silsesquioxane for sub-10-nm half-pitch lithography // J. Vac. Sci. Technol. B. 2007. V. 25. № 6. P. 2025-2029.

11. T. M. Bloomstein, P. W. Juodawlkis, R. B. Swint, S. G. Cann, S. J. Deneault, N. N. Efremow, D. E. Hardy, M. F. Marchant, A. Napoleone, D. C. Oakley and M. Rothschild. Direct patterning of spin-glass with 157 nm lithography: Application to nanoscale crystal growth // J. Vac. Sci. Technol. B 23. 2617 (2005).

12. Yasin Ekinci, Harun H. Solak, Celestino Padeste, Jens Gobrecht, Mark P. Stoykovich, Paul F. Nealey. 20 nm Line/space patterns in HSQ fabricated by EUV interference lithography // Microelectronic Engineering. 2007. V. 84. P. 700-704.

13. Th. Mpatzaka, G. Zisis, I. Raptisa, V. Vamvakas, C. Kaiser, T. Mai, M. Schirmer, M. Gerngroß, G. Papageorgiou. Process study and the lithographic performance of commercially available silsesquioxane based electron sensitive resist Medusa 82 // Micro and Nano Engineering. 2020. V. 8. 100065.

14. Parikh M. Proximity effects in electron liyhography - magnitude and correction techniques // IBM Journal of research and development. 1980. V. 50. № 4. P. 438.

15. Parikh M. Corrections to proximity effects in electron - beam lithography. 1. Theory // Journal of applied physics. 1979. V. 50. № 6. P. 4371.

16. Aristov V.V., Gaifullin B.N., Svintsov A.A., Zaitsev S.I., Jede R.R., Raith H.F. Accuracy of proximity correction in electron lithography after development // J. Vac. Sci. Technol. 1992. V. 10. № 6. P. 2459.

17. Greeneich J.S., Van Duzer T. An exposure model for electron-sensitive resists // IEEE Transactions on Electron. 1974. V. 21. № 5. P. 286.

18. Murata K., Kyser D. F., Ting C. H. Monte Carlo simulation of fast secondary electron production in electron beam resists // Journal of Applied Physics. 1981. V. 52. №. 7. P. 4396-4405.

19. Chung M. S., Everhart T. E. Simple calculation of energy distribution of low - energy secondary electrons emitted from metals under electron bombardment //Journal of Applied Physics. 1974. V. 45. №. 2. P. 707-709.

20. Vutova K. et al. Computer simulation of resist profiles at electron beam nanolithography //Microelectronic Engineering. 2010. V. 87. №. 5-8. P. 11081111.

21. Hoffmann K. E., Asteiner M., Speckbacher P. Total process function in electron beam lithography // Microelectronic Engineering. 2015. V. 143. P. 1-4.

22. Figueiro T. et al. Improved electron backscattering representation using a new class of distribution: application to EUV masks //Alternative Lithographic Technologies IV. - International Society for Optics and Photonics, 2012. V. 8323. P. 83232F.

23. Hahmann P. et al. High resolution patterning-Preparation of VSB systems for 22 nm node capability // Microelectronic Engineering. 2010. V. 87. №. 5-8. P. 10771081.

24. K. Yamazaki, T. Yamaguchi, H. Namatsu. Edge-Enhancement Writing for Electron Beam Nanolithography // Jpn. J. Appl. Phys. 2003. V. 42. P. 3833-3837.

25. T. Yamaguchi, H. Namatsu, M. Nagase, K. Yamazaki and K. Kurihara. Nanometer-scale linewidth fluctuations caused by polymer aggregates in resist films // Appl. Phys. Lett. 1997. V. 71. P. 2388.

26. T. Yamaguchi, H. Namatsu, M. Nagase, K. Yamazaki and K. Kurihara. A new approach to reducing line-edge roughness by using a cross-linked positive-tone resist // Jpn. J. Appl. Phys. 1999. V38. P. 7114.

27. Foucher J., Fabre A. L., Gautier P. CD-AFM versus CD-SEM for resist LER and LWR measurements // Proc. SPIE. 2006. V. 6152.

28. International Technology Roadmap for Semiconductors. http://www.itrs2.net

29. E. Pargon, L. Azarnouche, M. Fouchier, K. Menguelti, J. Jussot, Smoothing mechanisms involved in thermal treatment for linewidth roughness reduction of 193-nm photoresist patterns // J. Vac. Sci. Technol. B: Nanotechnol. Microelectron.: Mater. Process. Meas. Phenom. 2013. V. 31. № 6. 061203.

30. Shin C. Variation-Aware Advanced CMOS Devices and SRAM // Springer Series in Advanced Microelectronics 56. 2016.

31. Chris A. Mack. Reducing roughness in extreme ultraviolet lithography // Proc. SPIE 10450, International Conference on Extreme Ultraviolet Lithography. 2017. 104500P.

32. Charlotte Cutler, Choong-Bong Lee, James W. Thackeray, Chris Mack, John Nelson, Jason DeSisto, Mingqi Li, Emad Aqad, Xisen Hou, Tomas Marangoni, Joshua Kaitz, Rochelle Rena. Utilizing Roughness Power Spectral Density Variables to Guide Resist Formulation and Understand Impact of Frequency Analysis through Process // Journal of Photopolymer Science and Technology. 2018. V. 31. № 6. P. 679 - 687.

33. Zonghuan Lu, David M. Frey, Thomas Merkh, Robert Lord, Morris A.Washington, Toh-Ming Lu. Resistivity of epitaxial copper nanolines with trapezoidal cross-section // Thin Solid Films. 2016. V. 599. P. 187-193.

34. Chris A. Mack, Benjamin D. Bunday. Using the Analytical Linescan Model for SEM Metrology // Proc. of SPIE. 2017. V. 10145.

35. Benjamin D. Bunday, Michael Bishop, Donald McCormack, et al. Determination of optimal parameters for CD-SEM measurement of line edge roughness // Proc. of SPIE. 2004. V.5375. P. 515.

36. J.S. Villarrubia, B.D. Bunday. Unbiased estimation of linewidth roughness // Proc. of SPIE. 2005. V. 5752. P. 480.

37. R. Katz, C.D. Chase, R. Kris, R. Peltinov, J. Villarrubia, B. Bunday, Bias reduction in roughness measurement through SEM noise removal // Proc. of SPIE. 2006. V. 6152. P. 61524L.

38. A. Yamaguchi, R. Steffen, H. Kawada, T. Iizumi. Bias-free measurement of LER/LWR with low damage of CD-SEM // Proc. of SPIE. 2006. V. 6152. P. 61522D.

39. S.-B.Wang, Y.H. Chiu, H.J. Tao, Y.J. Mii. Practical and bias-free LWR measurement by CDSEM // Proc. of SPIE. 2008. V. 6922. P. 692222.

40. Vassilios Constantoudis, Evangelos Gogolides. Noise-free estimation of spatial line edge/width roughness parameters // Proc. of SPIE. 2009. V. 7272. P. 72724B.

41. G. Dai, W. Häßler-Grohne, D. Hüser, H. Wolff, J. Fluegge, H. Bosse. New developments at Physikalisch Technische Bundesanstalt in three-dimensional atomic force microscopy with tapping and torsion atomic force microscopy mode and vector approach probing strategy // J. Micro/Nanolith. MEMS MOEMS. 2012. V. 11. № 1. P. 011004.

42. Arnaud Bazin, Erwine Pargon, Xavier Mellahoui, Damien Perret, Benedicte Mortini, Olivier Joubert. Impact of HBr and Ar cure plasma treatments on 193nm photoresist // Proc. of SPIE. 2008. V. 6923. P. 692337.

43. Maria Gabriela Gusmao Cacho, Patricia Pimenta-Barros, Maxime Argoud, Christophe Navarro, Kaumba Sakavuyi, Raluca Tiron, Nicolas Posseme. Etching of sub-10 nm half-pitch high chi block copolymers for directed selfassembly (DSA) application // Microelectronic Engineering. 2020. V. 230. 111369.

44. Yusuke Kasahara, Yuriko Seino, Hironobu Sato, Hideki Kanai, Katsutoshi Kobayashi, Hitoshi Kubota, Ken Miyagi, Shinya Minegishi, Katsuyoshi Kodera, Naoko Kihara, Satoshi Nomura, Toshikatsu Tobana, Masayuki Shiraishi, Yoshiaki Kawamonzen, Tsukasa Azuma. Characterization of half-pitch 15-nm

metal wire circuit fabricated by directed self-assembly of polystyrene-block-poly (methyl methacrylate) // Microelectronic Engineering. 2016. V. 159. P. 21-26.

45. M. Fouchier and E. Pargon. HBr/O2 plasma treatment followed by a bake for photoresist linewidth roughness smoothing // Journal of Applied Physics. 2014. V. 115. 074901.

46. Toshiro Itani and Julius Joseph Santillan. Alternative developer solutions for extreme ultraviolet resist // Journal of Vacuum Science & Technology. 2009. B 27. P. 2986.

47. I. Junarsa, M. P. Stoykovich, P. F. Nealey, Y. Ma, F. Cerrina. Hydrogen silsesquioxane as a high resolution negative-tone resist for extreme ultraviolet lithography // J. Vac. Sci. Technol. 2005. B 23. P. 138.

48. S. Matsui, Y. Igaku, H. Ishigaki, J. Fujita, M. Ishida, Y. Ochiai, H. Namatsu, and M. Komuro. Room-temperature nanoimprint and nanotransfer printing using hydrogen silsesquioxane // J. Vac. Sci. Technol. 2006. B 21. P. 688.

49. Yang J.K.W., Cord B., Duan H., Berggren K.K., Klingfus J., Nam S.-W., Kim K.-B., Rooks M.J. Understanding of hydrogen silsesquioxane electron resist for sub-5-nm-half-pitch lithography // J. Vac. Sci. Technol. B. 2009. V. 27. № 6. P. 2622 - 2627.

50. K. Nakamatsu et al. Bilayer resist method for room-temperature nanoimprint lithography // Jpn. J. Appl. Phys. 2004. V. 43. № 6B. P. 4050.

51. K. A. Lister, B. G. Casey, P. S. Dobson, S. Thoms, D. S. Macintyre, C. D. W. Wilkinson and J. M. R. Weaver. Pattern transfer of a 23 nm-period grating and sub-15 nm dots into CVD diamond // Microelectron. Eng. 2004. V. 73 - 74. P. 319 - 322.

52. Falco C.M.J.M. van Delft. Bilayer resist used in e-beam lithography for deep narrow structures // Microelectron. Eng. 1999. V. 46. P. 369 - 373.

53. A. E. Grigorescu and C.W. Hagen. Resists for sub-20-nm electron beam lithography with a focus on HSQ: state of the art // Nanotechnology 20. 2009. 292001.

54. K. Maex, M. R. Baklanov, D. Shamiryan, and F. Iacopi. Low dielectric constant materials for microelectronics // J. Appl. Phys. 93, 8793 (2003).

55. D. L. Olynick, B. Cord, A. Schipotinin, D. F. Ogletree, and P. J. Schuck. Electron-beam exposure mechanisms in hydrogen silsesquioxane investigated by vibrational spectroscopy and in situ electron-beam-induced desorption // Journal of Vacuum Science & Technology. 2010. B 28. P. 581.

56. Namatsu H, Takahashi Y, Yamazaki K, Yamaguchi T, NagaseM, Kurihara K. Three-dimensional siloxane resist for the formation of nanopatterns with minimum linewidth fluctuations // J. Vac. Sci. Technol. 1998. B 16. P. 69.

57. Grigorescu A E, van der Krogt M C, Hagen C W and Kruit P. Influence of the development process on ultimate resolution electron beam lithography, using ultrathin hydrogen silsesquioxane resist layers // J. Vac. Sci. Technol. 2007. B 25 P. 1998.

58. Namatsu H, Yamaguchi T, Nagase M, Yamazaki K and Kurihara K. Nano-patterning of a hydrogen silsesquioxane resist with reduced linewidth fluctuations // Microelectron. Eng. 1998. V.41-42. P. 331-334.

59. Schmid G. M., Carpenter L. E. II and Liddle J. A. Nonaqueous development of silsesquioxane electron beam resist // J. Vac. Sci. Technol. 2004. B 22. P. 3497.

60. Haffner M., Haug A., Heeren A., Fleischer M., Peisert H., Chaasse T. and Kern D. P. Influence of temperature on HSQ electron-beam lithography // J. Vac. Sci. Technol. 2007. B 25. P. 2045.

61. Choi S., Jin N., Kumar V., Shannon M. and Adesida I. Effects of developer temperature on electron-beam-exposed hydrogen silsesquioxane resist for ultradense silicon nanowire fabrication // J. Vac. Sci. Technol. 2007. B 25. P. 2085.

62. Vincent M. Donnelly and Avinoam Kornblit. Plasma etching: Yesterday, today and tomorrow // Journal of Vacuum Science & Technology. A 31. 050825 (2013).

63. Данилин Б.С., Киреев В.Ю. Применение низкотемпературной плазмы для травления и очистки материалов. - М.: «Энергоатомиздат», 1987. - 234 с.

64. D. L. Flamm, V. M. Donnelly and J. A. Mucha. The reaction of fluorine atoms with silicon // J. Appl. Phys. 52, 3633 (1981).

65. E. A. Ogryzlo, D. E. Ibbotson, D. L. Flamm and J. A. Mucha. Doping and crystallographic effects in Cl-atom etching of silicon // J. Appl. Phys. 67, 3115 (1990).

66. Z. H. Walker and E. A. Ogryzlo. Rate constants for the reaction of Cl atoms with intrinsic and n+ - doped polycrystalline silicon // J. Appl. Phys. 69, 548 (1991).

67. Z. H. Walker and E. A. Ogryzlo. Rate constants for the etching of intrinsic and doped polycrystalline silicon by bromine atoms // J. Appl. Phys. 69, 2635 (1991).

68. V. M. Donnelly, D. L. Flamm, W. C. Dautremont-Smith, and D. J. Werder. Anisotropic etching of SiO2 in low-frequency CF4/O2 and NF3/Ar plasmas // J. Appl. Phys. 55, 242 (1984).

69. J. W. Butterbaugh, D. C. Gray, and H. H. Sawin. Plasma-surface interactions in fluorocarbon etching of silicon dioxide // J. Vac. Sci. Technol. B 9, 1461 (1991).

70. T. E. F. M. Standaert, C. Hedlund, E. A. Joseph, G. S. Oehrlein, and T. J. Dalton. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide // J. Vac. Sci. Technol. A 22, 53 (2004).

71. N. Posseme, T. Chevolleau, O. Joubert, L. Vallier, and P. Mangiagalli. Etching mechanisms of low-k SiOCH and selectivity to SiCH and SiO2 in fluorocarbon based plasmas // J. Vac. Sci. Technol. B 21, 2432 (2003).

72. D. Eon, V. Raballand, G. Cartry, and C. Cardinaud. High density fluorocarbon plasma etching of methylsilsesquioxane SiOC(H) low-k material and SiC(H) etch stop layer: surface analyses and investigation of etch mechanisms // J. Phys. D: Appl. Phys. 40, 3951 (2007).

73. T. E. F. M. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, and T. J. Dalton. Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2 // J. Vac. Sci. Technol. A 17, 741 (1999).

74. T. E. F. M. Standaert, E. A. Joseph, G. S. Oehrlein, A. Jain, W. N. Gill, J. P. C. Wayner, and J. L. Plawsky. Etching of xerogel in high-density fluorocarbon plasmas // J. Vac. Sci. Technol. A 18, 2742 (2000).

75. N. Selamoglu, C. N. Bredbenner, T. A. Giniecki, and H. J. Stocker. Tapered etching of aluminum with CHF3/Cl2/BCl3 and its impact on step coverage of plasmadeposited silicon oxide from tetraethoxysilane // J. Vac. Sci. Technol. B 9, 2530 (1991).

76. P. Werbaneth, Z. Hasan, P. Rajora, and M. Rousey-Seidel, in International Conference on Compound Semiconductor Manufacturing Technology (CS MANTECH, 1999).

77. M. McNie et al. Performance enhancement and evaluation of deep dry etching on a production cluster platform // Proc. SPIE. 2003. V. 4979. P. 34.

78. N. A. Ciampa, J. I. Colonell, A. Kornblit and J. T. C. Lee, in AVS 43rd National Symposium (American Vacuum Society, Philadelphia, PA, 1996).

79. R. A. H. Heinecke. Control of relative etch rates of SiO2 and Si in plasma etching // Solid State Electron. 18, 1146 (1975).

80. R. A. H. Heinecke. Plasma reactor design for the selective etching of SiO2 on Si // Solid State Electron. 19, 1039 (1976).

81. L. M. Ephrath and E. J. Petrillo. Parameter and Reactor Dependence of Selective Oxide RIE in CF4 + H2 // J. Electrochem. Soc. 129, 2282 (1982).

82. D. C. Marra and E. S. Aydil. Effect of H2 addition on surface reactions during CF4/H2 plasma etching of silicon and silicon dioxide films // J. Vac. Sci. Technol. A 15, 2508 (1997).

83. S. J. Fonash. An Overview of Dry Etching Damage and Contamination Effects // J. Electrochem. Soc. 137, 3885 (1990).

84. J. M. Heddleson, M. W. Horn, S. J. Fonash, and D. C. Nguyen. Effects of dry etching on the electrical properties of silicon // J. Vac. Sci. Technol. B 6, 280 (1988).

85. X. C. Mu, S. J. Fonash, A. Rohatgi, and J. Rieger. Comparison of the damage and contamination produced by CF4 and CF4/H2 reactive ion etching: The role of hydrogen // Appl. Phys. Lett. 48, 1147 (1986).

86. X. C. Mu, S. J. Fonash, and R. Singh. Observation of boron acceptor neutralization in silicon produced by CF4 reactive ion etching or Ar ion beam etching // Appl. Phys. Lett. 49, 67 (1986).

87. G. S. Oehrlein. Rutherford backscattering studies of plasmaetched silicon // J. Appl. Phys. 59, 3053 (1986).

88. G. S. Oehrlein, R. M. Tromp, Y. H. Lee, and E. J. Petrillo. Study of silicon contamination and nearsurface damage caused by CF4/H2 reactive ion etching // Appl. Phys. Lett. 45, 420 (1984).

89. J. P. Simko, G. S. Oehrlein, and T. M. Mayer. Removal of Fluorocarbon Residues on CF4/H2 Reactive-Ion-Etched Silicon Surfaces Using a Hydrogen Plasma // J. Electrochem. Soc. 138, 277 (1991).

90. H. Norstr€om, H.-O. Blom, M. Ostling, A. N. Larsen, J. Keinonen, and S. Berg. Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen // J. Vac. Sci. Technol. B 9, 34 (1991).

113

91. R. G. Frieser, F. J. Montillo, N. B. Zingerman, W. K. Chu, and S. R. Mader. Silicon Damage Caused by Hydrogen Containing Plasmas // J. Electrochem. Soc. 130, 2237 (1983).

92. C. J. Radens and C. A. Fairchock, US patent 5,928,967 (27 July 1999).

93. D. Thomas, Y. Song, and K. Powell, Solid State Technol. 44, 107 (2001).

94. А.М. Ефремов, В.И. Светцов, В.В, Рыбкин. «Вакуумно-плазменные процессы и технологии», учебное пособие, Иваново 2006.

95. Шарапов А.А., Баранов Г.В. Сравнительный анализ методик оценки количественных характеристик шероховатости наноразмерных структур // Труды МФТИ. - 2018. - Т. 10, № 2 (38). - С. 72-79.

96. Свидетельство 2020663814. Инструмент оценки неровностей краёв наноструктур по их снимкам, полученным с помощью растрового электронного микроскопа (LER&LWR Calculator): программа для ЭВМ / А. А. Шарапов, Г. В. Баранов (RU) ; правообладатель АО "НИИМЭ". № 2020663106; заявл. 28.10.20; опубл. 02.11.2020, Бюл. № 11. 87,9 КБ.

97. Павлов В. Н., Панченко В. Я., Поликарпов М. А., Свинцов А. А., Якимов Е. Б. Моделирование тока, индуцированного бета-излучением из Ni63 // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. 2013. № 9. C. 46.

98. С. И. Зайцев, В. Н. Павлов, В. Я. Панченко, М. А. Поликарпов, А. А. Свинцов, Е. Б. Якимов. Сравнение эффективности детекторов бета-излучения из 63 Ni, изготовленных из кремния и широкозонных полупроводников // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. 2013. № 9. C. 9.

99. Mojarad, N., Gobrecht, J. & Ekinci, Y. Beyond EUV lithography: a comparative study of efficient photoresists' performance // Sci. Rep. 5, 9235 (2015).

100. Миронов В.Л. Основы сканирующей зондовой микроскопии. Нижний Новгород. 2004. 114 с.

101. Tamayo J., Garcia R. Relationship between phase shift and energy dissipation in tapping-mode scanning force microscopy // Applied Physics Letters. 1998. V. 73. №20. P. 2926-2928.

102. Hae-Jeong Lee, Eric K. Lin, Howard Wang, Wen-li Wu, Wei Chen and Eric S. Moyer. Structural Comparison of Hydrogen Silsesquioxane Based Porous Low-k Thin Films Prepared with Varying Process Conditions // Chemistry of Materials. 2002. V. 14. P. 1845-1852.

103. Miakonkikh, A.V., Orlikovskiy, N.A., Rogozhin, A.E., Tatarintsev, A.A., Rudenko, K.V. Dependence of the Resistance of the Negative e-Beam Resist HSQ Versus the Dose In the RIE and Wet Etching Processes // Russian Microelectronics. 2018. V. 47(3). P. 157-164.

104. Larrieu G., Dubois E. Reactive ion etching of a 20 nanometers tungsten gate using a SF6/N2 chemistry and hydrogen silsesquioxane hard mask resist // J. Vacuum Science & Technology B. 2005. V. 23. 2046.

105. Kazazis D., Tseng L.T., Ekinci Y. Achromatic Talbot lithography with nano-ring masks for high-throughput periodic patterning // Microelectronic Engineering. 2020. V. 225. 111273.

106. Hill P., Gua E., Dawsona M. D., Straina M. J. Thin film diamond membranes bonded on-demand with SOI ring resonators // Diamond and Related Materials. 2018. V. 88. P. 215-221.

107. Kleinlein J., Borzenko T., Munzhuber F., Brehm J., Kiessling T., Molenkamp L.W. NV-center diamond cantilevers: Extending the range of available fabrication methods // Microelectronic Engineering. 2016. V. 159. P. 70-74.

108. Peng Sun, Chengchun Tang, Xiaoxiang Xia, Zehan Yao, Baogang Quana, Guang Yuan, Changzhi Gu, Junjie Li. Controlled fabrication of periodically high-aspect ratio CVD-diamond nanopillar arrays by pure oxygen etching process. // Microelectronic Engineering. 2016. V. 155. P. 61-66.

109. Trellenkamp St., Moers J., van der Hart A., Kordos P., Lüth H. Patterning of 25-nm-wide silicon webs with an aspect ratio of 13 // Microelectronic Engineering. 2003. V. 67-68. P. 376-380.

110. Efremov A.M., Murin D.B., Kwon K.H. On the Effect of the Ratio of Concentrations of Fluorocarbon Components in a CF4+C4F8+Ar Mixture on the Parameters of Plasma and SiO2/Si Etching Selectivity // Russ. Microelectronics. 2018. V. 47. P. 239-246.

111. Miakonkikh A.V., Tatarintsev A.A., Rogozhin A.E., Rudenko K.V. Technology for fabrication of sub-20 nm silicon planar nanowires array // Proceedings of SPIE. 2016. V. 10224. 1022419.

112. Myakonkikh A.V., Kuvaev K.Y., Tatarintsev A.A., Orlikovskii N.A. et al. Investigation of the Process of Plasma Through Etching of HkMG Stack of Nanotransistor with a 32-nm Critical Dimension // Russ. Microelectronics. 2018. V. 47(5). P. 323-331.

113. Lee H.K., Chung K.S., Yu J.S. Selective Etching of Thick Si3N4, SiO2 and Si by Using CF4/O2 and C2F6 Gases with or without O2 or Ar Addition // Journal of the Korean Physical Society. 2009. V.54. P. 1816-1823.

114. Welch C.C., Olynick D.L., Liu Z., Holmberg A., Peroz C., Robinson A. P. G., Henry M. D., Scherer A., Mollenhauer T., Genova V., and Ng D. K. T. Formation of nanoscale structures by inductively coupled plasma etching // Proceedings of SPIE. 2013. V. 8700. 870002.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.