Исследование и разработка критических технологических процессов формирования МЭМС колонки газового хроматографа тема диссертации и автореферата по ВАК РФ 00.00.00, кандидат наук Парамонов Владислав Витальевич

  • Парамонов Владислав Витальевич
  • кандидат науккандидат наук
  • 2025, ФГАОУ ВО  «Национальный исследовательский университет «Московский институт электронной техники»
  • Специальность ВАК РФ00.00.00
  • Количество страниц 164
Парамонов Владислав Витальевич. Исследование и разработка критических технологических процессов формирования МЭМС колонки газового хроматографа: дис. кандидат наук: 00.00.00 - Другие cпециальности. ФГАОУ ВО  «Национальный исследовательский университет «Московский институт электронной техники». 2025. 164 с.

Оглавление диссертации кандидат наук Парамонов Владислав Витальевич

ОБОЗНАЧЕНИЯ И СОКРАЩЕНИЯ

Введение

ГЛАВА 1 АНАЛИЗ СОВРЕМЕННОГО СОСТОЯНИЯ И МЕТОДОВ ИЗГОТОВЛЕНИЯ МЭМС КОЛОНОК ГАЗОВОГО ХРОМАТОГРАФА

1.1 Газовая хроматография

1.2 Стандартные системы ГХ

1.3 Колонка хроматографа

1.4 Проблемы миниатюризации колонок хроматографа в настоящее время

1.5 Проблемы формирования каналов МЭМС колонки газового хроматографа методами плазменного травления кремния

1.6 Проблемы при формировании герметичного соединения кремниевого кристалла и закрывающей пластины методом анодного бондинга

1.7 Выводы по главе и постановка задач

ГЛАВА 2 ИССЛЕДОВАНИЕ И АНАЛИЗ ВЛИЯНИЯ ПАРАМЕТРОВ КОНСТРУКЦИИ МЭМС КОЛОНКИ ГАЗОВОГО ХРОМАТОГРАФА НА ЭФФЕКТИВНОСТЬ ХРОМАТОГРАФИЧЕСКОГО АНАЛИЗА

2.1 Разработка различных видов топологии макетных образцов каналов пилларного типа для проверки их газодинамических характеристик

2.2 Моделирование газовой динамики в каналах с различной топологией

2.3 Экспериментальные образцы для отработки технологического маршрута изготовления объемной микроструктуры МЭМС колонки газового хроматографа

2.4 Выводы по главе

ГЛАВА 3 ИСПОЛЬЗОВАННОЕ ОБОРУДОВАНИЕ И МЕТОДИКИ ДЛЯ ИССЛЕДОВАНИЯ КЛЮЧЕВЫХ ТЕХНОЛОГИЧЕСКИХ ПРОЦЕССОВ ФОРМИРОВАНИЯ ОБЪЕМНОЙ МИКРОСТРУКТУРЫ МЭМС КОЛОНКИ ГАЗОВОГО ХРОМАТОГРАФА

3.1 Оборудование и методика проведения эксперимента ГАПТ

3.1.1 Установка для разработки режима Bosch-процесса

3.1.2 Реактор Sentech SI 500 PTSA ICP plasma etcher

3.1.3 Операционные параметры Bosch-процесса

3.1.4 Экспериментальные образцы для исследования Bosch-процесса травления кремния

3.2 Применение методики планирования эксперимента. Метод Тагучи для разработки процесса глубокого анизотропного плазменного травления кремния

3.3 Методы контроля характеристик профиля

3.4 Установка для исследования и разработки процесса анодного бондинга

3.5 Оборудование и экспериментальные образцы для исследования анодного бондинга

3.6 Методика определения качества бондинга образцов МЭМС колонки газового хроматографа и закрывающей пластины

3.7 Планирование эксперимента для разработки режима Bosch-процесса

3.8 Планирование эксперимента для исследования и разработки процесса анодного бондинга

3.9 Выводы по главе

ГЛАВА 4 РАЗРАБОТКА ТЕХНОЛОГИЧЕСКОГО МОДУЛЯ ФОРМИРОВАНИЯ СТРУКТУР МЭМС КОЛОНКИ ГАЗОВОГО ХРОМАТОГРАФА

4.1 Результаты отработки Bosch процесса травления кремния

4.2 Результаты отработки процесса анодного бондинга

4.3 Апробация разработанных процессов и технологии формирования пилларной МЭМС колонки газового хроматографа

4.4 Разделение пластин на кристаллы с МЭМС колонкой газового хроматографа с помощью дисковой резки

4.5 Выводы по главе

ЗАКЛЮЧЕНИЕ

Список использованных источников

Публикации автора по теме диссертации

Приложение

Приложение

ОБОЗНАЧЕНИЯ И СОКРАЩЕНИЯ

ГАПТ — глубокое анизотропное плазменное травление ДМФА — диметилформамид ПАР — перекисно-аммиачный раствор ФР — фоторезист

МЭМС — микроэлектромеханические системы ПХ — плазмохимическая УФ — ультрафиолет ПТФЭ — политетрафторэтилен

PECVD — plasma enhanced chemical vapor deposition (усиленное плазмой химическое осаждение из газовой фазы)

SEM — scanning electron microscope (растровый электронный микроскоп) ХАЧ — химические активные частицы АСО - атомное слоевое осаждение ГХ - газовая хроматография

ТКЛР - температурный коэффициент линейного расширения РИТ - реактивное ионное травление

«Черный кремний» - образование пикообразных кремниевых шипов на донной части травимой поверхности кремния

Скэллопы - эффект гребешковых неровностей, возникающих вследствие чередования этапов травления и пассивации в Bosch процессе

Введение

Газовая хроматография (ГХ) — аналитический метод, используемый для анализа сложных газовых смесей в дыхательной диагностике, мониторинге окружающей среды и криминалистике [1]. При ГХ-анализе газовая смесь разделяется на компоненты при одновременном протекании процессов сорбции-десорбции между неподвижной и подвижной фазами, после чего определяется их концентрация. Особо важной проблемой является миниатюризация колонок газового хроматографа и их исполнение в виде МЭМС системы. Наибольший вклад в развитие данного направления на территории Российской Федерации представляют труды ученых Платонова И.А. и Платонова В.И. Самарского национального исследовательского университета имени академика С. П. Королёва. [1].

МЭМС колонки для ГХ, представляют собой герметичные каналы кремниевом кристалле и отличаются от больших трубчатых металлических колонок [2, 3] уменьшенным энергопотреблением на усилителе, минимальным временем анализа и подходят для многих лабораторных систем. Структурная схема типичной конструкции ГХ представлена на рисунке

Рисунок 1 - Структурная схема типичной конструкции газового хроматографа: 1 -источник газа-носителя (подвижной фазы), 2 - регулятор расхода газа носителя, 3 - устройство для введения пробы, 4 - колонка хроматографа, 5 - детектор, 6 - электронный усилитель, 7 - регистрирующий прибор (компьютер),

8 - датчик расхода газа

Структура МЭМС колонки хроматографа, как правило, состоит из прямоугольных каналов шириной в несколько сотен микрон, вытравленных в

кремнии в виде спирали или меандра. Каналы герметично закрываются сверху для удержания газа-носителя в колонке. Далее на боковых стенках каналов формируется неподвижная фаза введением эмульсии с необходимым взаимодействующим веществом в канал и дальнейшим выпариванием жидкости при нагреве для разделения определенных летучих веществ в газовой смеси на основе их взаимодействия с покрывающим веществом. Для создания подобных конструкций необходимо применение ряда ключевых технологий, к которым следует отнести процессы PECVD и термического осаждения диэлектриков, напыления металлов, фотолитографии, плазмохимического и реактивного ионного травления, а также бондинга микроструктур.

Ключевым этапом формирования каналов является процесс глубокого анизотропного травления (ГАПТ) на основе Bosch-процесса. Несмотря на все преимущества метода, существует ряд нерешенных проблем, оказывающих негативное влияние на выходные характеристики колонки, что является недопустимым [4]. Наблюдаются отклонения стенок профиля травления от нормали, а также эффекты микромаскирования, приводящие к увеличению шероховатости поверхностей, а также возможно существенное изменение химического состава поверхностей канала колонки хроматографа. Кроме того, высокая полимеризационная способность газовой смеси, используемой на пассивирующей стадии Bosch-процесса, обеспечивающей необходимую анизотропию процесса травления, может приводить к появлению эффекта «черного кремния» (образование пикообразных кремниевых шипов на донной части травимой поверхности кремния), особенно при больших площадях вскрытия (соответствующее подробное описание условий появления данного эффекта и разработки методов борьбы с ним представлены в главе 2).

Отдельной задачей, решение которой необходимо для обеспечения заданной геометрии канала колонки хроматографа, является разработка технологии формирования маски, способной обеспечить при травлении кремния на глубину более 450 мкм высокую селективность процесса травления и заданные линейные размеры структуры.

Одновременно с этим необходимо обеспечить отсутствие эффекта переосаждения материала маски на дно щелей.

Как было сказано выше одним из этапов создания колонки является герметизация канала, который, как правило, проводится с применением технологии анодного бондинга. В технологии анодного бондинга ключевым фактором соединения двух пластин является дрейф ионов, за счет подачи напряжения смещения на структуру. Как правило, в данной методике для сращивания используются кремний и стекло, размещаемые между катодом и анодом [5]. Основными операционными параметрами процесса анодного бондинга являются температура, напряжение смещения, давление на образцы. Достоинства данного метода заключаются в низкой температуре процесса, возможности сохранения герметичности соединения, отсутствии требования значительного механического прижатия. Но, в некоторых случаях, возникает ряд проблем, связанный с разнородностью структур кремния и стекла. В сращиваемых структурах возникают механические остаточные напряжения, вызванные разницей в температурных коэффициентах линейного расширения (ТКЛР) этих материалов. В результате, при сжатии кремния и стекла после окончания процесса происходит растрескивание или излом образцов. Особенно важным при подготовке к данной операции является выбор материала для посадки, характеризующийся в первую очередь составом и свойствами, с точки зрения деформаций в процессе [6].

Также критически важным для обеспечения качества соединения бондингом является микроморфология и химический состав приводимых в контакт поверхностей. В связи с чем, актуальным является решение задачи управляемого воздействия на эти поверхности с целью обеспечения необходимых параметров.

Таким образом, весьма актуальными являются исследование и разработка технологических процессов глубокого анизотропного плазменного травления, подготовки поверхности и анодного бондинга для формирования структур устройств микрофлюидики, в частности колонки хроматографа, путем совершенствования существующих методов.

Цели и задачи работы

Целью работы являются исследование и разработка конструкции, маршрута и критических процессов изготовления МЭМС колонки газового хроматографа.

Для достижения поставленной цели в работе поставлены и решены следующие задачи:

- проведено исследование вариантов конструкций и разработан маршрут изготовления трехмерной МЭМС колонки газового хроматографа, осуществлен выбор необходимого оборудования и материалов для формирования структуры;

- исследованы особенности проведения Bosch процесса травления кремния с большими площадями вскрытия, а также изучено влияние состава плазмы и режимов травления на геометрические параметры формируемой структуры и физико-химические свойства ее поверхностей;

- исследовано влияние физико-химических свойств поверхности кремния на характеристики бондинга и разработана технология ее подготовки, обеспечивающая необходимые параметры эффективного контакта кремниевого кристалла канала и герметично закрывающей колонку пластины;

- проведен анализ ключевых параметрических зависимостей процессов травления и обработки поверхности, сформировано окно технологического модуля, обеспечивающее получение необходимых для эффективной работы колонки хроматографа геометрии структуры, химического состава и морфологии поверхности области сорбции-десорбции между неподвижной и подвижной фазами;

- разработан и апробирован комплексный технологический модуль анодного бондинга структуры колонки хроматографа, обеспечивающий силу отрыва более 110 Н/см2 и гарантирующий отсутствие пустот в межсоединении.

Научная новизна работы

1. Установлено, что разница в мощности двух чередующихся этапов Bosch процесса создает неконтролируемые переходные процессы, приводящие к увеличенной микрошероховатости поверхности формируемой структуры и неравномерности скорости травления по диаметру пластины за счет неконтролируемых колебаний плотности плазмы, энергии и плотности ионного и теплового потока на обрабатываемую поверхность.

2. Установлена взаимосвязь перегрева пластин в результате тепловыделения от ионной бомбардировки и химических реакций при травлении кремниевых структур, влияющих на геометрию профиля. При этом отсутствует эффект «черного кремния», так как при более высоких температурах происходит отслаивание полимерной пленки с боковых стенок, вследствие чего происходит микромаскирование дна щели.

3. Предложен метод комбинированной плазменной и жидкостной обработки поверхности кремния, повышающий в 1,5 раза адгезию стекла к подложке, включающий обработку кремния в плазме Ar + O2 + CF4 (22% + 45% + 33%) и дальнейшую жидкостную обработку в травителе 1:50 ВОТ, а также зачистку стеклянной подложки в растворе диметилформамида (ДМФА) при температуре 80 оС в течение 10 минут.

4. Установлено, что для обеспечения достаточного дрейфа ионов щелочных металлов и образования оксида на границе между двух материалов в процессе анодного бондинга необходимо приложенное напряжение смещения не менее 1,2 кВ и температура нагрева сборки от 320 оС до 350 оС.

Достоверность научных положений, результатов и выводов

Достоверность научных результатов диссертационной работы обуславливается использованием современных методов научных исследований, системного подхода, актуальных исходных данных при изучении особенностей разработки и технологии изготовления объемной микроструктуры МЭМС колонки газового хроматографа.

Подтверждается положительными результатами проведенных экспериментальных исследований, использованием разработанных конструкций, технологий и методов изготовления устройств микрофлюидики в ООО «ФЛЮИД-ИК», а также актами об использовании результатов работы.

Результаты диссертационной работы использованы:

1. В работах ООО «Флюид-ИК» (г. Самара) по договору (соглашению) №19ГТС1РЭС14/72119 от 24 декабря 2021 г. Акт внедрения представлен в приложении

Практическая значимость работы

Предложен новый технологический метод воспроизводимого формирования колонки хроматографа, состоящей из кремниевого кристалла со сформированным рельефом путем применения ключевых процессов МЭМС технологии. Подобран вид и состав материала покрытия структуры.

Определены параметры ключевых процессов формирования устройств микрофлюидики, в частности колонки хроматографа, таких как ГАПТ методом Bosch процесса и анодного бондинга кристаллов кремния и стекла. Данные операции интегрированы в базовый технологический процесс МЭМС.

Созданы действующие образцы МЭМС колонок, включающие в себя пиллары с различной геометрией, подходящие для внедрения в новейшие хроматографические устройства и процессы.

Разработан процесс плазменного глубокого анизотропного травления кремния методом Bosch для структур с аспектным отношением 1:10 и менее для применения в технологии изготовления МЭМС и устройств микрофлюидики.

Разработан процесс анодного бондинга кремния и стекла для герметизации структур колонок МЭМС газового хроматографа.

На защиту выносятся:

1. Основные закономерности и технологические параметры Bosch-травления кремниевых структур с малым аспектным отношением и глубиной травления свыше 380 мкм для создания каналов колонки газового хроматографа, обеспечивающие анизотропию профиля стенки 89-90 о, латеральный подтрав под маску величиной, соразмерной с геометрией скэллопов (до 1-2 мкм), неравномерностью перепада рельефа по пластине не более ± 2-3%, шероховатостью дна щели до 1 мкм и полным отсутствием эффекта «черного кремния».

2. Способ плазменного глубокого анизотропного травления кремния методом Bosch, обеспечивающий воспроизводимость процесса и качество профиля травления кремния за счет фиксированной мощности ICP генератора в диапазоне 700-800 Вт на обеих стадиях травления и осаждения. При меньших мощностях наблюдается резкий спад скорости травления и равномерности распределения химически-активных частиц по площади пластины. При значении мощности ICP в диапазоне

800-900 Вт выявляется нестабильность в согласовании падающей и отраженной мощностей, при этом ухудшается геометрия формируемой структуры возрастает микрошероховатость ее поверхностей, снижается селективность процесса травления по отношению к фоторезистивной маске.

3. Конструкция системы подачи газа в реактор установки плазмохимического травления, обеспечивающая равномерность травления подложки диаметром 100 мм ± 2-3%.

4. Интервальный метод проведения Bosch процесса глубинного травления кремния, обеспечивающий снижение дефектообразования и увеличение однородности процесса за счет поддержания температуры подложки в диапазоне 65 °С - 99 °С.

5. Способ плазмохимической зачистки кремния, предусматривающий введение к изначальной плазмообразующей смеси Ar и O2 (100 sccm (33%) + 200 sccm 67%) газа CF4 в количестве 150 sccm (33% от общей газовой смеси), за счет чего шероховатость поверхности кремния уменьшается на 50%, благодаря снижению скорости окисления кремния и одновременному удалению образующегося оксида.

6. Метод комбинированной плазменной и жидкостной обработки поверхностей кремния и щелочного стекла ЛК105, позволяющий увеличить площадь эффективного контакта стекла и кремния в технологии бондинга МЭМС структур.

7. Усовершенствованный метод анодного бондинга, обеспечивающий повышенную надежность сращивания стекла и кремния за счет устранения микрозазоров между образцами и большей подвижности ионов щелочных металлов, с применением которого сила разрыва срощенной структуры составила более 117 Н/см2.

8. Способ увеличения эффективности газохроматографического процесса за счет расположения пилларов каплевидного профиля внутри канала колонки в шахматном порядке при ширине канала 180 мкм.

9. Математическая модель течения газа внутри пилларного канала МЭМС газохроматографической колонки с учетом диффузионных и адсорбционных процессов.

Личный вклад соискателя

Соискатель лично принимал участие во всех этапах работы: разработке и апробации экспериментальных методов, проведении экспериментов, обработке и обобщении полученных результатов, написании статей и тезисов конференций.

Планирование экспериментов (в том числе численных) и анализ их результатов были выполнены автором лично. При разработке математических моделей и их программной реализации автор принимал участие, как консультант по технологическим ограничениям при изготовлении изделия. Результаты, выносимые на защиту и составляющие научную новизну работы, получены автором лично. Автор принимал участие в представлении результатов на конференциях и непосредственно участвовал в написании научных публикаций.

Рекомендованный список диссертаций по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Введение диссертации (часть автореферата) на тему «Исследование и разработка критических технологических процессов формирования МЭМС колонки газового хроматографа»

Апробация работы

Результаты работы докладывались на научно-технических конференциях (НТК):

- 2018 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), Moscow and St. Petersburg, Russia, 2018;

- 6-ая Международная научно-техническая конференция «Технологии микро-и наноэлектроники в микро- и наносистемной технике», 2019;

- 26-я Всероссийская межвузовская научно-техническая конференция студентов и аспирантов - 2019;

- 27-ая Всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика - 2020»;

- 2020 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), St. Petersburg and Moscow, Russia, 2020

- XIV Всероссийская научная конференция молодых ученых «Наука. Технологии. Инновации», Новосибирск, 30 ноября - 04 декабря 2020 г;

- 2021 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), St. Petersburg and Moscow, Russia, 2021.

- XLI Всероссийская конференция по проблемам науки и технологий «МСНТ-

2021»;

- 29-ая Всероссийская межвузовская научно-техническая конференция студентов и аспирантов «Микроэлектроника и информатика - 2022», (МИЭТ, заочное участие).;

- Российский форум «Микроэлектроника 2022» Научная конференция «Электронная компонентная база и микроэлектронные модули».

- Российский форум «Микроэлектроника 2023» Научная предконференция «Электронная компонентная база и радиоэлектронные системы».

Публикации в материалах всероссийских конференций:

- 2018 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), Moscow and St. Petersburg, Russia, 2018;

- 6-ая Международная научно-техническая конференция «Технологии микро-и наноэлектроники в микро- и наносистемной технике», 2019;

- 26-я Всероссийская межвузовская научно-техническая конференция студентов и аспирантов - 2019;

- 27-ая Всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика - 2020»;

- 2020 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), St. Petersburg and Moscow, Russia, 2020

- XIV Всероссийская научная конференция молодых ученых «Наука. Технологии. Инновации», Новосибирск, 30 ноября - 04 декабря 2020 г;

- 2021 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), St. Petersburg and Moscow, Russia, 2021.

- XLI Всероссийская конференция по проблемам науки и технологий «МСНТ-

2021»;

- 29-ая Всероссийская межвузовская научно-техническая конференция студентов и аспирантов «Микроэлектроника и информатика - 2022», (МИЭТ, заочное участие).;

- Российский форум «Микроэлектроника 2022» Научная конференция «Электронная компонентная база и микроэлектронные модули».

- Российский форум «Микроэлектроника 2023» Научная предконференция «Электронная компонентная база и радиоэлектронные системы».

Выражаю благодарность и признательность доктору технических наук Путре Михаилу Георгиевичу, кандидату физико - математических наук Дюжеву Николаю Алексеевичу, кандидату технических наук Жукову Андрею Александровичу, кандидату технических наук Медведеву Борису Константиновичу, кандидату химических наук Платонову Владимиру Игоревичу, кандидату технических наук Зарянкину Николаю Михайловичу и Осиповой Татьяне Викторовне за оказанную всестороннюю помощь при подготовке работы.

ГЛАВА 1 АНАЛИЗ СОВРЕМЕННОГО СОСТОЯНИЯ И МЕТОДОВ ИЗГОТОВЛЕНИЯ МЭМС КОЛОНОК ГАЗОВОГО ХРОМАТОГРАФА

1.1 Газовая хроматография

Хроматография является одним из наиболее распространенных аналитических методов разделения и обнаружения различных химических веществ в сложных смесях. Методы хроматографии можно использовать для определения присутствия химического вещества, а также для оценки количества компонентов. Принцип разделения заключается в относительном количестве каждого компонента, распределенного между подвижной и неподвижной фазами. Движущийся поток жидкости называется подвижной фазой, а твердое вещество, соприкасающееся с элементами смеси называется неподвижной фазой. Компонент, взаимодействующий с неподвижной фазой, будет оставаться в колонке дольше, чем компонент в подвижной фазе. Таким образом, в отличие от методов физического разделения, таких как перегонка, кристаллизация и т. д., хроматографические процессы основаны на разделении переноса вещества во времени. [7]

Данное направление исследований широко используется как в биологической, так и в химической областях. В анализе окружающей среды данный метод незаменим для идентификации и количественного определения загрязняющих веществ в атмосфере. Хроматография также имеет промышленное применение. В нефтяной промышленности она широко используется для определения и анализа различных сложных углеводородов, для очистки товарных продуктов, а также в криминалистических и терапевтических исследованиях, обнаружении взрывчатых веществ и т. д. [8]

По физическому состоянию подвижной фазы хроматографию делят на жидкостную и газовую хроматографию. В жидкостной хроматографии подвижной фазой является жидкость, в газовой хроматографии - газ-носитель.

Метод широко применяется в аналитической химии для разделения летучих и

полулетучих компонентов в сложной смеси [9]. Это широко распространенный

способ благодаря его простоте, чувствительности и эффективности разделения.

Механизм разделения заключается в разнице температуры кипения или давлении

15

пара и полярности. Подвижной фазой в газовой хроматографии является инертный газ. Неподвижная фаза может быть твердой или вязкой жидкостью. В газожидкостной хроматографии разделение основано на распределении летучего образца между инертным газом-носителем и нелетучей жидкостью, нанесенной на инертную поверхность. В газофазной хроматографии разделение основано на селективной адсорбции различных компонентов пробы на неподвижной твердой фазе.

Газовая хроматография является широко распространенным методом анализа вредных загрязнителей в атмосфере [10]. Многие хронические заболевания и опасные факторы для здоровья могут быть вызваны повышенным уровнем загрязнения воздуха. Анализ и обнаружение токсичных и опасных компонентов в окружающей среде можно упростить с помощью ГХ. Благодаря высокой чувствительности и эффективности оборудования ГХ можно обнаружить и количественно определить очень малые следы этих компонентов в атмосфере.

Миниатюризация систем ГХ активно изучается с момента ее появления. Исследователи проявили значительный интерес к разработке маломощной, недорогой и портативной системы [11]. Миниатюризация не только делает устройство переносным, но и сокращает время анализа, а также количество анализируемого вещества, которое требуется в образце. Это принесет значительные преимущества в биологических исследованиях, анализе окружающей среды, обнаружении взрывчатых веществ, обнаружении патогенов, обнаружении летучих органических соединений и т. д. В настоящее время было предпринято много усилий для разработки МЭМС хроматографов и их оптимизации. Разработка высокопроизводительных микрокомпонентов является сложной задачей при миниатюризации систем ГХ. [12]

1.2 Стандартные системы ГХ

Поток инертного газа, содержащий смесь образцов, пропускают через колонку, покрытую вязкой неподвижной фазой. При прохождении образца через колонку, различные компоненты в нем будут взаимодействовать с покрытием канала. Некоторые компоненты будут иметь большее сродство с неподвижной фазой, оставаясь на ней в течение большего времени по сравнению с компонентами с меньшим сродством. Компоненты, имеющие меньшее сродство к неподвижной фазе, будут оставаться в среде газа-носителя и легко выходить из колонки. Таким образом, можно получить разделение во времени между различными компонентами смеси. [13] Этот принцип проиллюстрирован на рисунке 1.2.1.

Компонент А Компонент Б Компонент В

Рисунок 1.2.1 - Принцип хроматографии, разделяющей компоненты по времени

Смесь трех компонентов А, Б и В пропускают через хроматографическую колонку. Соединение В, которое имеет наименьшее сродство к покрытию неподвижной фазы, покидает колонку первым. Соединение А, имеющее наибольшее сродство к неподвижной фазе, в итоге также покинет колонку, но значительно позже. Соединение Б вылетит из колонки в промежутке времени между двумя другими. Детектор выдает сигнал, который показывает время, когда каждое соединение покинуло колонку. Этот график сигнала детектора во времени называется хроматограммой. Пример хроматограммы процесса разделения, показанного на рисунке 1.2.1, показан на рисунке 1.2.2.

Б

Вреня

Рисунок 1.2.2 - Пример хроматограммы процесса разделения

Каждый пик на хроматограмме показывает время, когда соединение покидает колонку. Хроматограмму можно использовать не только для обнаружения различных компонентов, но и для количественного определения количества конкретного компонента в смеси. Площадь под каждым пиком дает количество вещества, присутствующего в смеси.

Классическая система газовой хроматографии состоит из различных элементов, собранных вместе для достижения разделения вещества, обнаружения сигнала и интерпретации полученных данных. Для миниатюрной версии обычной системы ГХ каждый из этих компонентов должен быть миниатюризирован и интегрирован в портативное устройство. На рисунке 1.2.3 показана блок-схема системы ГХ.

Образец

Баллон с

газом -носителем

Инжектор

Колонка хроматографа

Регистрирующее устройство (ПК)

Усилитель Инжектор

Детектор

Рисунок 1.2.3 - Блок-схема системы ГХ

1.3 Колонка хроматографа

Колонка хроматографа является самым важным элементом, который влияет на общую производительность и эффективность системы, так как разделение смеси введенной пробы с газом-носителем на компоненты происходит именно в ней.

Чаще всего для хроматографического анализа используются капиллярные колонки длиной от 1 до 60 м, представляющие собой трубку из выплавленного кварца с гибким полиамидным покрытием, которое придает прочность и защиту всему тракту. Внутренние стенки трубки покрыты неподвижной фазой, в качестве которых могут выступать такие полимеры как полиэтиленгликоль, модифицированный нитротерефталатом или полидиметилсилоксан. Капиллярные колонки дают очень узкие пики, что позволяет с высокой точностью разделять сложные смеси, содержащие большое количество углеводородов.

Качество нанесения покрытия неподвижной фазы напрямую влияет на эффективность разделения компонентов в колонке. Различная толщина покрытия и его тип влияет на характеристику работы ГХ. Существует два типа неподвижных фаз: полярные и неполярные. Неполярными неподвижными фазами являются диметилполисилоксан, углеводороды, диалкилсилоксаны и т. д. [14] Полиэфирные покрытия представляют собой полярные неподвижные фазы. Полярность пропускаемого образца всегда должна совпадать с полярностью покрытия. Вещество для неподвижной фазы закачивается внутрь колонки, помещается на

нагревательный элемент или в термокамеру, растворитель испаряется, оставляя на стенках каналов тонкий слой. Равномерность покрытия зависит от гладкости стенок канала. [15,16]

Температура колонки является важным фактором, влияющим на эффективность анализа. Управляя нагревом, можно легко контролировать процесс разделения компонентов. Температуру можно менять за счет механизмов теплопроводности, конвекции и излучения. Для достижения нагрева за малое время в портативных версиях используют инфракрасный нагрев. Также часто используется резистивный нагрев при пропускании электрического тока через нагревательный элемент. Газовые пробы в подобных колонках обычно проходят в температурном диапазоне от комнатной до 225 °С. [17,18]

1.4 Проблемы миниатюризации колонок хроматографа в настоящее время

Колонки для микро-ГХ наиболее часто изготавливаются путем травления

каналов с высоким или малым аспектным соотношением сторон в кремниевой

пластине и закрытия их герметизирующей крышкой. [19]

Протравленные каналы могут быть сформированы в виде круговой спирали

или прямоугольных зигзагов для формирования разделительной колонны. В МЭМС

колонке, герметично закрытой пластиной, затем должно быть сформировано

покрытие полидиметилсилоксана, с которым будут взаимодействовать компоненты

смеси. Травление микроканалов обычно выполняется методом ГАПТ. Чем глубже

канал, тем меньше может быть ширина, что позволяет уменьшить размер кристалла.

Для миниатюрных систем ГХ необходимо изготовить высокоэффективную колонку

с меньшими габаритными размерами. [20] Чем меньше ширина канала, тем больше

эффективность работы хроматографа. Каналы должны быть анизотропными и с

гладкими боковыми стенками. Излишняя шероховатость стенок канала

отрицательно скажется на однородность покрытия. По этим причинам к

характеристикам ГАПТ требуются повышенные требования, и соответствующий

подбор операционных параметров. В производстве МЭМС колонок, при

формировании глубоких каналов приходится сталкиваться с такими

нежелательными эффектами, как неудовлетворительная анизотропия, наличие

латеральных подтравов по маску, загрязнение донной части, излишняя

20

микрошероховатость (рисунок 1.4.1) [21], в некоторых случаях возникновение эффекта «черного кремния», связанного с микромаскированием обрабатываемой поверхности, в результате интенсивного образования полимерной пленки, переосаждения продуктов реакции и т.д. При формировании структуры требуется комплексное решение перечисленных проблем, зачастую связанное с большими временными и материальными затратами.

Рисунок 1.4.1 - Типовые дефекты при формировании колонок хроматографа методами плазменного травления: а) низкая анизотропия, б) латеральные подтравы под маску, в) излишняя шероховатость поверхностей [21]

В мировой практике, из-за вышеперечисленных проблем, возникающих в процессе ГАПТ и сложностью подбора операций технологического маршрута изготовления МЭМС колонки, стоит проблема формирования воспроизводимых столбчатых структур «пилларов», для увеличения площади соприкосновения

содержащихся компонентов в смеси и увеличения результативности ГХ процессов. [22]

Подложки из дорогостоящих стекол Pyrex, Borofloat 33, тяжело доступных в настоящее время на территории Российской Федерации, широко используются в качестве крышки для покрытия сформированных каналов. При этом, несмотря на высокую степени полировки до десятых долей нанометров и отсутствие пузырей в толще стекла, формирование надежного соединения является весьма сложным процессом. Удовлетворяющим считают тот случай, когда адгезия, пропорциональная силе разрыва соединяемых элементов, достигает значений выше 117 Н/см2. [23] Существует несколько методов, разработанных для сращивания и герметизации МЭМС колонок ГХ: технологии анодного и термокомпрессионного бондинга, а также эвтектического сращивания. Анодное соединение является широко используемым процессом для герметизации микроканалов крышкой из стекла Pyrex. [24] Процесс выполняется без использования промежуточного слоя и выполняется прямое сращивание. Среди различных доступных методов анодный бондинг является относительно недорогим и дает высокую прочность соединения при правильной подготовке поверхностей и необходимых технологических параметрах. Основная проблема данного подхода заключается в разнице температурных коэффициентов линейного расширения материалов, что может вызвать растрескивание при возникновении механических напряжений. [25] Также немаловажным аспектом является недостаточное прижатие двух материалов, загрязняющие поверхность дефекты, ведущие к образованию пустот в межсоединении (рисунок 1.4.2).

Рисунок 1.4.2 - Пустоты межсоединения после процесса бондинга при исследовании структуры на ИК микроскопе. [24]

В термокомпрессионном бондинге механизм сращивания основан на диффузионном взаимодействии металлов, нанесенных на поверхность двух образцов. Образцы приводятся в контакт с одновременным применением силы и тепла. Выступы двух поверхностей деформируются, после чего образуется граница раздела между ними. Повышенные температура и давление вызывают ускоренный массоперенос материалов, а промежутки между двумя поверхностями уменьшаются до незначительно мелких пор. Материал начинает диффундировать через границу соприкасающихся поверхностей. Чаще всего для бондинга применяются покрытия из алюминия, так как он наиболее предпочтителен с точки зрения высокой скорости диффузии, мягкости и пластичности. Но его применение в качестве связующего слоя также обладает недостатками: для соединения требуется температура больше 400 °С, чтобы обеспечить соединение, эквивалентной силе разрыва выше 100 Н/см2. Кроме того, алюминий требует большого приложенного усилия, как правило больше 2000 Н/см2 для растрескивания поверхности оксида, поскольку диффузия материала невозможна с его присутствием. Поэтому особо актуальным для данной цели является выбор материала, с использованием которого допускается проводить процесс сращивания при температурах ниже 200 оС и обеспечивать меньшее давление на образцы. [26]

Также как и у алюминия, образование естественного оксида неизбежно при попадании материала в атмосферу, что требует применения дополнительных мер в технологии подготовки образцов. [27] Помимо вышеупомянутого, при использовании термокомпрессионного метода вероятно возникновение пустот в межсоединении, что в некоторых случаях недопустимо при работе МЭМС устройств, а также вытекание материала из границ соединения. Загрязнение и излишняя шероховатость поверхностей пластин также создает проблемы при сращивании. Поверхность должна быть хорошо отмыта от микрочастиц и органических загрязнений.

Эвтектическое соединение [28] — еще один метод, применимый для герметизации сформированного канала. Но так как данный подход использует один или несколько промежуточных слоев, например, Au и это усложняет технологический маршрут, поэтому данный вариант обычно не рассматривается в данной технологии.

1.5 Проблемы формирования каналов МЭМС колонки газового хроматографа методами плазменного травления кремния

При формировании структур, методом глубокого анизотропного плазменного травления кремния, с малыми и сверхмалыми аспектными отношениями (много меньше 0,5) наблюдается ряд сложностей, связанных с геометрией структур и качеством травления. В частности, это обусловлено большими площадями вскрытия, 30% и более от общей площади пластины, что качественно отличает данный процесс от процесса формирования глубоких щелей с аспектными отношениями более 100.

Травление кремния осуществляется с использованием атомарного фтора,

который может быть получен, например, из CF4 или SF6. В качестве основного

плазмообразующего газа для травления кремния чаще всего применяется

гексафторид серы SF6 (элегаз), молекула которого содержит 6 атомов фтора, что

позволяет достичь максимальной плотности атомарного фтора в плазме, по

сравнению с другими фторсодержащими газами, и высокой скорости травления

кремния, соответственно. Однако атомарный фтор в условиях комнатной и умеренно

пониженной температуры пластины вступает в реакцию с кремнием спонтанно, что

приводит к изотропному травлению боковых стенок формируемой микроструктуры

24

и отклонению от заданных топологических размеров формируемой микроструктуры. [29]

Атомарный фтор может генерироваться из SF6 различными явлениями столкновения частиц в плазме, а именно (в порядке убывания важности [30]):

Влияние диссоциации: SF6 + e- ^ SF5 + F + e-;

Диссоциативная привязанность: SF6 + e- ^ SF5" + F;

Ударная ионизация: SF6 + e- ^ SF5+ + F + 2e-;

Полученные продукты участвуют во множестве процессов рекомбинации, диссоциации и ионизации. Эта картина дополнительно осложняется тем фактом, что кислород часто добавляется в плазму фтора для увеличения концентрации фтора [31] путем предотвращения рекомбинации F. В этом случае SFx, и подробное обсуждение плазменных реакций рассматривается за рамками этого вопроса. Атомарный фтор реагирует с кремнием через чистую реакцию:

Si+ 4F^ SiF4,

где SiF4 является летучим сам по себе. Ионная бомбардировка также способствует связыванию атомов фтора с кремнием [32].

Для большинства применений важно контролировать форму и угол боковых стенок кремниевых структур. Обычно это достигается с использованием одного из двух подходов травления кремния: криогенной пассивации боковых стенок или Bosch-процесса, принципы которых основаны на формировании защитного слоя в виде полимера на боковых стенках элементов. Скорость травления пассивирующего слоя низкая, и поэтому скорость бокового травления будет очень низкой по сравнению с вертикальной. Таким образом, может быть достигнута высокая анизотропия (травление структуры кремния лишь в одном вертикальном направлении). Способ получения пассивации боковой стенки в этих двух случаях сильно отличается, как и конфигурации установок, используемых для выполнения данных процессов.

Одним из методов боковой пассивации на основе окисления поверхности является криогенное сухое травление. Снижение температуры пластины до криогенной, как правило, от -120 до - 80 оС с помощью охлаждения электрода подложки жидким азотом (Ь№). Травящими газами для кремния, являются SF6 и О2. При низких температурах добавление кислорода к газу травления позволяет сформировать очень эффективный пассивирующий полимерный слой боковой стенки в общем виде SiOxFy, устойчивой при температурах ниже - 75 оС [33]. Скорость удаления пассивирующего слоя является наибольшей на горизонтальных поверхностях, которые подвергаются энергичной ионной бомбардировке и, вследствие, процесс строится таким образом, что боковые стенки остаются защищенными, в то время как горизонтальные поверхности будут подвергаться воздействию травления частицами фтора [34]. Пассивирующий слой испаряется, когда подложка нагревается до комнатной температуры [35], поэтому нет необходимости учитывать наличие остатков полимерной пленки при дальнейшей обработке подложки. Процесс дает гладкие боковые стенки, но обладает рядом недостатков, одними из которых является необходимость проведения процесса травления при криогенных температурах, чувствительность толщины тонкой пассивирующей пленки к температуре подложки и высокие требования к термостабилизации пластины. Это ограничивает промышленное внедрение криогенных процессов травления кремния [36].

Помимо вышесказанного, температуры подложки в отрицательном диапазоне, требуемые в процессе, делают использование толстых слоев фоторезиста проблематичным из-за растрескивания [37], однако селективность по скорости травления между кремнием и фоторезистом часто превышает 1000 [38]. Криогенные температуры могут создавать проблемы для сложных слоистых структур с внутренними механическими напряжениями и большими различиями в коэффициентах теплового расширения. Такой эффект является существенным недостатком данного процесса, который необходимо учитывать в производстве таких изделий, как МЭМС, содержащих множество тонких пленок, данная проблема может вызвать повреждения или полный излом структуры. [40]

В технологии МЭМС чаще используется метод глубокого плазмохимического травления (Bosch-процесс), в котором химические процессы травления и осаждения

пассивации разделены во времени. Процесс непрерывно переключается между этапом травления и этапом пассивирования в циклах по 3-9 секунд. Этот принцип запатентован компанией Robert Bosch GmbH [41] и впоследствии лицензирован рядом компаний, включая STS, Alcatel, Oxford Instruments, Applied Materials, Unaxis и Sentech. Основным газом на этапе травления является SF6.

На этапе пассивации CF2 образуется из C4F8:

C4F8 + e- ^ C3F6 + CF2 + e-,

который затем адсорбируется на поверхностях и образует тефлоноподобный полимер.

Во время фазы травления молекулы CF2 удаляются путем сочетания физического ионного распыления и химических реакций. Из-за направленной ионной бомбардировки подложки скорость удаления осажденного полимерного слоя является наибольшей на горизонтальных поверхностях, и, таким образом, боковые стенки остаются защищенными во время фазы травления, если соотношение между временем травления и временем осаждения не слишком велико. Хорошо сбалансированный процесс будет рассчитан так, чтобы весь полимер боковой стенки удалялся на последующей фазе травления, но при этом без значительного втравливания в кремний. В противном случае возможны такие эффекты, как большой наклон стенок кремниевой структуры или избыточная шероховатость.

Принцип Bosch-процесса проиллюстрирован на рисунке 4а (слева), на котором можно заметить, как переключение между изотропной, на самом деле, фазой травления и этапом осаждения пассивации приводит к появлению «гофрирования» боковой стенки, также часто называемой скэллопами (scallops), что является одним из недостатков процесса. Данный подход подразумевает разделение процесса на несколько этапов, объединенных в один цикл: вначале имеем (1) кремниевую пластину с фоторезистивной маской, на которой проэкспонирована топология и имеются открытые участки. На (2) происходит изотропное травление кремния в плазме SF6, которое приводит к незначительному латеральному подтраву под маску. На (3) пластина находится во фторуглеродной плазме C4F8. В это время тонкая фторуглеродная пленка (политетрафторэтилена (ПТФЭ) или тефлона), пассивирующая поверхность, осаждается по принципу PECVD (усиленное плазмой

Похожие диссертационные работы по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Список литературы диссертационного исследования кандидат наук Парамонов Владислав Витальевич, 2025 год

Список использованных источников

1. Platonov I. А., Platonov V. I., Platonov V. I., & Горюнов М. Г. (2018). Динамические характеристики микротермохимического детектора для газовой хроматографии. Сорбционные и хроматографические процессы, 18(3), 280-286. https://doi.org/10.17308/sorpchrom.2018.18/531

2. Michael S. Gerlt, Nino F. Laubli, Michel Manser, Bradley J. Nelson, Jurg Dual Reduced etch lag and high aspect ratios by deep reactive ion etching (DRIE), arXiv:2104.02763v1 [physics.plasm-ph] 6 Apr 2021.

3. Grob L Robert and Barry F Eugene. Modern Practice of Gas Chromatography, Fourth Edition.2004.

4. Y. Li, X. Du, Y. Wang, H. Tai, D. Qiu, Q. Lin, and Y. Jiang. Improvement of column efficiency in MEMS-Based gas chromatography column. 2014.

5. Svetlana Tatic-Lucic, John Ames, Bill Boardman, David McIntyre, Paul Jaramillo, Larry Starr, Myoungho Lim, Bond-quality characterization of silicon-glass anodic bonding, Sensors and Actuators A: Physical, Volume 60, Issues 1-3, 1997, Pages 223-227, ISSN 0924-4247, https://doi.org/10.1016/S0924-4247(97)01381-2.

6. Cozma, Adriana & Jakobsen, Henrik. (2015). Chapter 30. Anodic Bonding. 10.1016/B978-0-323-29965-7.00030-0.

7. Azzouz I. and Bachari K. MEMS Devices for Miniaturized Gas Chromatography // MEMS Sensors - Design and Application - 2018. - Ch.7

8. Павленко А.А., Рязанцева Л.Т. Газовая хроматография как метод контроля экологической безопасности объектов окружающей среды // Современные технологии обеспечения гражданской обороны и ликвидации последствий чрезвычайных ситуаций. 2012. №1 (3).

9. Kaur, Gurleen & Sharma, Sahil. (2018). Gas Chromatography - A Brief Review. INTERNATIONAL JOURNAL OF INFORMATION AND COMPUTING SCIENCE. Volume 5, Issue 7, July 2018, pp. 125-131.

10. M. Akbar, M. Restaino, and M. Agah. Chip-scale gas chromatography: From injection through detection. 2015.

11. M. Navaei, P. Hesketh, J. Xu, A. Mahdavifar, J. M. Dimandja, and G. McMurray. All Silicon Gas Chromatographic Column for Fast Separation of VOCs

143

Released By Armillaria Fungus. Meeting Abstracts MA2015-01, (2015) 2094.

12. Biswas, Priyanka, Chen Zhang, Yudong Chen, Zhonghe Liu, Seyedmohsen Vaziri, Weidong Zhou, and Yuze Sun. 2021. "A Portable Micro-Gas Chromatography with Integrated Photonic Crystal Slab Sensors on Chip" Biosensors 11, no. 9: 326. https://doi.org/10.3390/bios11090326

13. Ghosh, A.; Vilorio, C.R.; Hawkins, A.R.; Lee, M.L. Microchip gas chromatography columns, interfacing and performance. Talanta 2018, 188, 463-492.

14. Azzouz, I.; Vial, J.; Thiebaut, D.; Haudebourg, R.; Danaie, K.; Sassiat, P.; Breviere, J. Review of stationary phases for microelectromechanical systems in gas chromatography: Feasibility and separations. Anal. Bioanal. Chem. 2014, 406, 981-994.

15. Y. Li, X. Du, Y. Wang, H. Tai, D. Qiu, Q. Lin, and Y. Jiang. Improvement of column efficiency in MEMS-Based gas chromatography column. 2014.

16. M. Yao, B. Tang, K. Sato, and W. Su. Silicon anisotropic etching in Triton-mixed and isopropyl alcohol-mixed tetramethyl ammonium hydroxide solution. IET Micro Nano Letters 10, (2015) 469-471

17. Stanley D. Stearns, Huamin Cai, J. Art Koehn, Martin Brisbin, Chris Cowles, Chris Bishop, Santos Puente, Dale Ashworth, A direct resistively heated gas chromatography column with heating and sensing on the same nickel element, Journal of Chromatography A, Volume 1217, Issue 27, 2010, Pages 4629-4638, ISSN 0021-9673, https://doi.org/10.1016/jxhroma.2010.04.050.

18. Navaei, M.; Xu, J.; Hesketh, P.; Wallace, R.; McMurray, G. Micro gas chromatography system for detection of volatile organic compounds released by Fungi. In Proceedings of the 224th ECS Meeting, San Francisco, CA, USA, 27 October-1 November 2013.

19. A. Garg, M. Akbar, S. Narayanan, L. Nazhandali, and M. Agah. Zebra GC: A fully integrated micro gas chromatography system. 2014.

20. F. Lucklum, S. Janssen, W. Lang, M.J. Vellekoop, Miniature 3D Gas Chromatography Columns with Integrated Fluidic Connectors Using High-resolution Stereolithography Fabrication, Procedia Engineering, Volume 120, 2015, Pages 703-706, ISSN 1877-7058, https://doi.org/10.1016Zj.proeng.2015.08.761.

21. Hua-Li Zuo, Feng-Qing Yang, Wei-Hua Huang, Zhi-Ning Xia, Preparative

Gas Chromatography and Its Applications, Journal of Chromatographic Science, Volume

144

51, Issue 7, August 2013, Pages 704-715, https://doi.org/10.1093/chromsci/bmt040

22. Jianhai Sun, Dafu Cui, Fengying Guan, Xing Chen, Lulu Zhang, High resolution microfabricated gas chromatography column with porous silicon acting as support, Sensors and Actuators B: Chemical, Volume 201, 2014, Pages 19-24, ISSN 09254005, https://doi.org/10.1016/j.snb.2014.04.076.

23. A. Cozma and B. Puers, "Characterization of the Electrostatic Bonding of Silicon and Pyrex Glass," Journal of Micromechanics and Microengineering, vol. 5, pp. 98-102, June 1995.

24. Hirtenstein, Daniel andre, "Surface Modifications for Gas Chromatography Micro Columns" (2013). Dissertations, Theses, and Masters Projects. Paper 1539626945. https://dx.doi.org/doi:10.21220/s2 -wkzw- ch30

25. Lunkenheimer, P., Loidl, A., Riechers, B. et al. Thermal expansion and the glass transition. Nat. Phys. (2023). https://doi.org/10.1038/s41567-022-01920-5

26. A. D. Radadia, A. Salehi-Khojin, R. I. Masel, and M. A. Shannon. The fabrication of all-silicon micro gas chromatography columns using gold diffusion eutectic bonding. 2010.

27. Ang, X.F., Wei, J., Chen, Z. et al. Ambient Copper-Copper Thermocompression Bonding using Self Assembled Monolayers. MRS Online Proceedings Library 1112, 203 (2008). https://doi.org/10.1557/PR0C-1112-E02-03

28. Agafonov A. N., Eremin A. V., Milanina K. I. etc. Application of molecular dynamics for modeling processes in microfluidic devices // Journal of Physics: Conference Series. — 2021. — Vol. 1745.

29. Chang B., Leussink P., Jensen F., Hubner J., Jansen H. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process. Microelectronic Engineering, 2018, vol. 191, pp. 77-83. DOI: 10.1016/j.mee.2018.01.034

30. Mu J., Chou X., He T., Ma Z., He J., Xiong J. Fabrication of high aspect ratio silicon micro-structures based on aluminum mask patterned by IBE and RIE processing. Microsyst. Technol., 2016, vol. 22 (1), pp. 215-222. Available at: https://doi.org/10.1007/s00542-015-2661-x).

31. Elders, J. and Jansen, H.V., DEEMO: A New Technol ogy for the Fabrication of Microstructures, Proc. IEEE MEMS, 1995, pp. 238-243.

32. Franz Larmer and Andrea Schilp, Patents DE 4241045, US 5501893 and EP

625285.

33. Платонов, И. А., Платонов, В. И., Агафонов, А. Н., Андреев, Т. А., Миланина, К. И., Дюжев, Н. А., Чиченков, М. Ю., Парамонов, В. В. (2023). Технология создания и исследование газодинамических характеристик микрофлюидных газохроматографических колонок на кремниевых подложках. Сорбционные и хроматографические процессы, 23(3), 343-350. https://doi.org/10.17308/sorpchrom.2023.23/11314

34. Патент РФ № 2 818 140 - Планарная газохроматографическая колонка с пилларами каплевидного профиля сечения. Авторы: Миланина Ксения Игоревна (RU), Платонов Владимир Игоревич (RU), Агафонов Андрей Николаевич (RU), Андреев Тарас Андреевич (RU), Дюжев Николай Алексеевич (RU), Чиненков Максим Юрьевич (RU), Парамонов Владислав Витальевич (RU), дата государственной регистрации в Государственном реестре изобретений Российской Федерации 24 апреля 2024 г. Срок действия исключительного права на изобретение истекает 13 февраля 2043 г.

35. Walker, M., Comparison of Bosch and Cryogenic Pro cesses for Patterning High Aspect Ratio Features in Sili con, Oxford Instruments Plasma Technology Group, www.oxinst.com/

36. Chabloz, M., Sakai, Y., Matsuura, T., and Tsutsumi, K., Improvement of Sidewall Roughness in Deep Silicon Etching, Microsystem Technologies, 2000, vol. 6, p. 86.

37. Blauw, M., Zijlstra, T., and van der Drift, E., Balancing the Etching and Passivation in TimeMultiplexed Deep Dry Etching of Silicon, Journal of Vacuum Science Technology B, Nov/Dec 2001, vol. 19, no. 6, American Vacuum Society.

38. Amirov, I.I. and Morozov, O.V., Aspect Ratiolndepen dent Anisotropic Silicon Etching in Plasmochemical Cyclic Process, Microelektronika, 2007, vol. 36, no. 4, pp. 380-389.

39. Orlikovsky, A.A., Plasma Processes in Micro and Nanoelectronics. Part 2. Plasmochemical Reactors of New Generation and Their Application in Microelec tronic Technology, Microelektronika, 1999, vol. 28, no. 6, pp. 415-426.

40. McAully, S.A., Ashraf, H., and Atabo, L., Silicon Micromatching Using a

146

HighDensity Plasma Source, J. Phys. D: Appl. Phys., 2001, vol. 34, pp. 2769-2774.

41. Bondur, J. A. Dry Process Technology (reactive Ion etching) / J. A. Bondur // Journal of Vacuum Science and Technology. — 1976. — Vol. 13, no. 5. — P. 1023— 1029. — DOI: 1. 1116 / 1. 569054. — URL: http://dx.doi .org/10.1116/1.569054.

42. Rangelow, I. W. Critical tasks in high aspect ratio silicon dry etching for microelectromechanical systems. J. Vac. Sci. Technol. A Vac. Surf. Films 21, 1550-1562 (2003).

43. Miao, H., Chen, L., Mirzaeimoghri, M., Kasica, R. & Wen, H. Cryogenic etching of high aspect ratio 400-nm pitch silicon gratings. J. Microelectromech. Syst. 25, 963-967 (2016).

44. Исследование и разработка процесса глубокого анизотропного плазменного травления кремния со сниженной шероховатостью боковых стенок структур / Голишников А. А., Дюжев Н. А., Парамонов В. В., Потапенко И. В., Путря М. Г., Сомов Н. М., Чаплыгин Ю. А. // Известия вузов. Электроника. 2023. Т. 28. № 6. С. 762-772. DOI: 10.24151/1561-5405-2023-28-6-762-772. - EDN: VNJRQE

45. Jansen, H., De Boer, M., Legtenberg, R. & Elwenspoek, M. The black silicon method: A universal method for determining the parameter setting of a fluorine-based reactive ion etcher in deep silicon trench etching with profile control. J. Micromech. Microeng.5, 115-120 (1995).

46. Jansen, H. V., De Boer, M. J., Unnikrishnan, S., Louwerse, M. C. & Elwenspoek, M. C. Black silicon method X: A review on high speed and selective plasma etching of silicon with profile control: An in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment. J. Micromech. Microeng. 19, 033001 (2009).

47. Gomez, S., Jun Belen, R., Kiehlbauch, M. & Aydil, E. S. Etching of high aspect ratio structures in Si using SF6/O2 plasma. J. Vac. Sci. Technol. A Vac. Surf. Films 22, 606 (2004).

48. Koirala, S. P., Gordon, M. H., Cai, L., Burkett, S. L. & Schaper, L. W. Optical emission spectroscopy in a reactive ion etching system. 2007 IEEE Reg. 5 Tech. Conf. TPS https:// doi. org/ 10. 1109/ TPSD. 2007. 43803 79 (2007).

49. Boufnichel, M., Aachboun, S., Lefaucheux, P. & Ranson, P. Profile control of high aspect ratio trenches of silicon. II. Study of the mechanisms responsible for local

147

bowing formation and elimination of this effect. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 21, 267 (2003).

50. Meng, L. & Yan, J. Effect of process parameters on sidewall damage in deep silicon etch. J. Micromech. Microeng. 25, 035024 (2015).

51. Jansen, H., de Boer, M. & Elwenspoek, M. Black silicon method VI: High aspect ratio trench etching for MEMS applications. Proc. IEEE Micro Electro Mech. Syst. https:// doi. org/ 10. 1109/ MEMSYS. 1996. 493989 (1996).

52. Boufnichel, M., Aachboun, S., Grangeon, F., Lefaucheux, P. & Ranson, P. Profile control of high aspect ratio trenches of silicon. I. Effect of process parameters on local bowing. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 20, 1508 (2002).

53. Laermer, F., Franssila, S., Sainiemi, L. & Kolari, K. Deep Reactive Ion Etching Handbook of Silicon Based MEMS Materials and Technologies 2nd edn. (INC, 2015).

54. Herth, E. et al. Fast ultra-deep silicon cavities: Toward isotropically etched spherical silicon molds using an ICP-DRIE. J. Vac. Sci. Technol. B 37, 021206 (2019).

55. Osipov, A. A. et al. High-temperature etching of SiC in SF6/O2 inductively coupled plasma. Sci. Rep. 10, 1-10 (2020).

56. Blauw, M. A., Zijlstra, T. & van der Drift, E. Balancing the etching and passivation in time-multiplexed deep dry etching of silicon. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 19, 2930 (2001).

57. Bates, R. L., Stephan Thamban, P. L., Goeckner, M. J. & Overzet, L. J. Silicon etch using SF6/C4F8/Ar gas mixtures. J. Vac. Sci. Technol. A Vac. Surf. Films 32, 041302 (2014).

58. Jansen, H. et al. BSM 7: RIE lag in high aspect ratio trench etching of silicon. Microelectron. Eng. 35, 45-50 (1997).

59. Osipov, A. A., Iankevich, G. A. & Alexandrov, S. E. Monocrystalline quartz ICP etching: Road to high-temperature dry etching. Plasma Chem. Plasma Process. 40, 423-431 (2020).

60. Osipov, A. A., Aleksandrov, S. E., Solov'ev, Y. V., Uvarov, A. A. & Osipov, A. A. Etching of SiC in low power inductively-coupled plasma. Russ. Microelectron. 47, 427-433 (2018).

61. Oehrlein, G. S. Effects of ion bombardment in plasma etching on the

148

fluorinated silicon surface layer: Real-time and postplasma surface studies. J. Vac. Sci. Technol. A Vac. Surf. Films 11, 34-46 (1993).

62. Ayon AA, Braff R, Lin CC, et al. Characterization of a time multiplexed inductively coupled plasma etcher. J. Electrochem. Soc., 1999, no. 146, pp. 339-349.

63. T. Pandhumsoporn, M. Feldbaum, P. Gadgil, M. Puech, and P. Maquin, "High Etch Rate Anisotropic Deep Silicon Plasma Etching for the Fabrication of Microsensors," in Micromachining and Microfabrication Pocess Technology II, Austin, TX, USA, 1996, pp. 94-102.

64. Парамонов В.В., Сомов Н.М., Румянцев. А.В., Путря М.Г., д. т.н., Осипова Т.В., Голишников А.А., Исследование морфологии и состава поверхности тонкопленочных структур нитрида кремния, сформированных процессами плазменного травления. Российский форум «Микроэлектроника 2022» Научная конференция «Электронная компонентная база и микроэлектронные модули». Сборник тезисов Роза Хутор, МКК «Роза Холл», 2-8 октября 2022 г. М.: ТЕХНОСФЕРА, 2022. -786 с.

65. G. A. Rudakov, V. V. Paramonov and G. S. Eritsyan, "Role of annealing conditions of LPCVD thin silicon films in the changing of the residue stress for MEMS application," 2018 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), Moscow and St. Petersburg, Russia, 2018, pp. 20112013, doi: 10.1109/EIConRus.2018.8317507.

66. Krasnoborodko, Sergey & Vysokikh, Yury & Paramonov, Vladislav & Medvedev, Boris & Filippov, Nikolay & Andreev, Alexey. (2022). The Study of Deposition Process of the TiN coating for Atomic Force Microscopy Cantilevers. 1-4. 10.1109/ICCT56057.2022.9976789.

67. Paramonov, Vladislav V., Nikolay A. Dyuzhev, Nikolay A. Filippov, Vasiliy A. Koshelev, Maksim U. Chinenkov and Gleb D. Demin. "In Based TCB Bonding for MEMS Application." 2023 IEEE 24th International Conference of Young Professionals in Electron Devices and Materials (EDM) (2023): 20-24.

68. Рудаков Г.А., Парамонов В.В. Применение метода комплексного планирования эксперимента для оптимизации процесса глубокого анизотропного плазменного травления кремния // Изв. вузов. Электроника. - 2019. - Т. 24. - № 4. -С. 350-362. DOI: 10.24151/1561-5405-2019-24-4-350-362

69. M. G. Putrya, V. V. Paramonov and T. V. Osipova, "Development of the Silicon Through Anisotropic Plasma Etching Process", 2021 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), St. Petersburg and Moscow, Russia, 2021. pp. 2463-2466, doi: 10.1109/ElConRus51938.2021.9396279.

70. Aachboun S and Ranson P. Deep anisotropic etching of silicon. J Vac Sci Technol A 1999; 17: 2270-2273

71. Jung K, Song W, Lim HW, et al. Parameter study for silicon grass formation in Bosch process. J Vac Sci Technol B 2010; 28: 143-148.

72. D. Nilsson, S. Jensen, and A. Menon. Fabrication of silicon molds for polymer optics. Journal of Micromechanics and Microengineering, 13(4):S57-S61, 2003.

73. A.A. Ayon, D.Z. Chen, R. Khanna, R. Braff, H.H. Sawin, and M.A. Schmidt. A novel integrated MEMS process using fluorocarbon films deposited with a deep reactive ion etching (DRIE) tool. Materials Science of Microelectromechanical Systems (MEMS) Devices II. Sympsium (Materials Research Society Symposium Proceedings Vol.605), pages 141-7, 2000.

74. Гущин О.П., Валеев А.С., Чамов А.А., Мицын Н.Г., Долгополов В.М., Одиноков В.В, Немировский В.Э., Иракин П.А. Разработка оборудования и исследование технологии глубокого травления кремния // Электронная техника. Серия 3. Микроэлектроника. 2015. Вып.3 (159). С. 50 - 54.

75. Gottscho R., Jurgensen C., Vitkavage D. Microscopic uniformity in plasma etching. J. Vac. Sci. Technol., B: Mi-croelectron. Nanometer Struct. - Process., Meas., Phenom., 1992, vol. 10, no. 5, pp. 2133 -2147. Available at: https://doi.org/10.1116/L586180 (accessed 02.04. 2019).

76. Timoshenkov S.P., Vinogradov A.I., Zaryankin N.M. Optimization of the processes of deep etching of silicon for MEMS structures. Oboronnyy kompleks -nauchno-tekhnicheskomu progressu Rossii = Oboronny complex - to the scientific and technical progress of Russia, 2013, no. 2 (118), pp. 68-73. (In Russian).

77. Zaryvahina S.A, Zhmurkin S.Y. Optimization of the Bosch etching process. Young Scientist, 2017, no. 3 (137), pp. 90-93. (In Russian).

78. H. Rhee, H.M. Lee, Y.M. Namkoung, C. Kim, H. Chae, and Y.W. Kim, "Dependence of etch rates of silicon substrates on the use of C[sub 4]F[sub 8] and C[sub 4]F[sub 6] plasmas in the deposition step of the Bosch process," Journal of Vacuum

150

Science & Technology B: Microelectronics and Nanometer Structures, vol. 27, 2010, p. 33.

79. Li D, Shang Z, She Y, Wen Z. Investigation of Au/Si Eutectic Wafer Bonding for MEMS Accelerometers. Micromachines (Basel). 2017 May 15;8(5): 158. doi: 10.3390/mi8050158. PMCID: PMC6190183.

80. G. Serrano, T. Sukaew, and E. T. Zellers. Hybrid preconcentrator/focuser module for determinations of explosive marker compounds with a micro-scale gas chromatograph. Journal of Chromatography A 1279, (2013) 76 - 85.

81. J. Shi, H. Huang, Z. Stratton, Y. Huang, and T. J. Huang. Continuous particle separation in a microfluidic channel via standing surface acoustic waves (SSAW). Lab on a Chip.

82. M. Wu, Z. Mao, K. Chen, H. Bachman, Y. Chen, J. Rufo, L. Ren, P. Li, L. Wang, and T. J. Huang. Acoustic Separation of Nanoparticles in Continuous Flow. Advanced Functional Materials.

83. X. Ding, Z. Peng, S.-C. S. Lin, M. Geri, S. Li, P. Li, Y. Chen, M. Dao, S. Suresh, and T. J. Huang. Cell separation using tilted-angle standing surface acoustic waves. Proceedings of the National Academy of Science.

84. R. R. Reston and E. S. Kolesar, Jr., "Silicon-Micromachined Gas Ghromatography System Used to Separate and Detect Ammonia and Nitrogen DioxidePart I: Design, Fabrication, and Integration of the Gas Chromatography

85. Kampa, M., et Castanas, E. (2008) Human health effects of air pollution, Environ. Pollut., 151, 362-367.

86. Arif, A. A., et Shah, S. M. (2007) Association between personal exposure to volatile organic compounds and asthma among US adult population, Int. Arch. Occup. Environ. Health, 80, 711-719.

87. Nasreddine, R., Person, V., Serra, C. A., et Le Calvé, S. (2015) Development of a novel portable miniaturized GC for near real-time low-level detection of BTEX, Sens. Actuators B Chem., 224, 159-169.

88. Nasreddine, R., Person, V., Serra C. A., Schoemaecker, C., et Le Calvé, S. (2016) Portable novel microdevice for BTEX real-time monitoring: Assessment during a field campaign in a low consumption energy junior high school classroom, Atmos. Environ., 126, 211-217.

89. Radadia, A. D., Salehi-Khojin, A., Masel, R. I., et Shannon, M. A. (2010) The effect of microcolumn geometry on the performance of micro-gas chromatography columns for chip scale gas analyzers, Sens. Actuators B Chem., 150, 456-464.

90. Sreeram Sundaresh, Mamatha G. Deshpande and Kalpathy B. Sundaram, New Inexpensive Simple Experimental Setup for Anodic Bonding of Silicon-Glass, 2021 ECS J. Solid State Sci. Technol. 10 054002, DOI 10.1149/2162-8777/abfb0e

91. Haisheng San, Hong Zhang, Qiang Zhang, Yuxi Yu and Xuyuan Chen, Silicon-glass-based single piezoresistive pressure sensors for harsh environment applications, 2013 J. Micromech. Microeng. 23 075020, DOI 10.1088/09601317/23/7/075020

92. Abdolvand R, Ayazi F. An advanced reactive ion etching process for very high aspect-ratio sub-micron wide trenches in silicon. Sensors and Actuators A: Physical 2008 may;144(1):109-116. https://linkinghub.elsevier.com/retrieve/ pii/S0924424708000046.

93. Blauw MA, Zijlstra T, van der Drift E. Balancing the etching and passivation in time-multiplexed deep dry etching of silicon. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 2001;19(6):2930.

94. Laermer F, Franssila S, Sainiemi L, Kolari K. Deep reactive ion etching. In: Handbook of Silicon Based MEMS Materials and Technologies Elsevier; 2020.p. 417446.

95. 54. Antony, Jiju & Perry, Daniel & Wang, Chengbo & Kumar, Maneesh. (2006). An application of Taguchi method of experimental design for new product design and development process. Assembly Automation. 26. 18-24. 10.1108/01445150610645611.

96. Hernadewita, Hernadewita & Rochmad, I & Hendra, Hendra & Hermiyetti, Hermiyetti & Yuliani, E.N.S. (2019). An analysis of implementation of Taguchi method to improve production of pulp on hydrapulper milling. International Journal of Production Management and Engineering. 7. 125. 10.4995/ijpme.2019.10163.

97. A. M. Titu, A. V. Sandu, A. B. Pop, S. Titu and T. C. Ciungu, The Taguchi Method Application to Improve the Quality of a Sustainable Process 2018 IOP Conf. Ser.: Mater. Sci. Eng. 374 012054, DOI 10.1088/1757-899X/374/1/012054

98. Kacker RN, Lagergren ES, Filliben JJ. Taguchi's Orthogonal Arrays Are

152

Classical Designs of Experiments. J Res Natl Inst Stand Technol. 1991 Sep-0ct;96(5):577-591. doi: 10.6028/jres.096.034. PMID: 28184132; PMCID: PMC4927234.

99. Vlachogiannis JG. Taguchi's technique: an effective method for improving X-ray medical radiographic screen performance. Proc Inst Mech Eng H. 2003;217(5):375-84. doi: 10.1243/095441103770802540. PMID: 14558650.

100. Raynard Christianson Sanito, Sheng-Jie You, Ya-Fen Wang, Application of Taguchi method and structural equation modeling on the treatment of e-waste, Environmental Technology & Innovation, Volume 27, 2022, 102725, ISSN 2352-1864, https://doi.org/10.1016/j.eti.2022.102725.

101. Tompkins, H.G. & Baker, J.H. & Smith, Steven & Convey, Diana. (2000). Spectroscopic ellipsometry and reflectometry: a user's perspective. II27-II28. 10.1109/LE0SST.2000.869717.

102. Meng L., Yan J. Mechanism Study of Sidewall Damage in Deep Silicon Etch. Appl. Phys. A. 2014;117:1771-1776. doi: 10.1007/s00339-014-8752-1.

103. Abhulimen I.U., Polamreddy S., Burkett S., Cai L., Schaper L. Effect of Process Parameters on via Formation in Si Using Deep Reactive Ion Etching. J. Vac. Sci. Technol. B. 2007;25:1762. doi: 10.1116/1.2787869.

104. Baracu A.M., Dirdal C.A., Avram A.M., Dinescu A., Muller R., Jensen G.U., Thrane P.C.V., Angelskar H. Metasurface Fabrication by Cryogenic and Bosch Deep Reactive Ion Etching. Micromachines. 2021;12:501. doi: 10.3390/mi12050501.

105. Jansen H.V., de Boer M.J., Unnikrishnan S., Louwerse M.C., Elwenspoek M.C. Black Silicon Method: X. A Review on High Speed and Selective Plasma Etching of Silicon with Profile Control: An in-Depth Comparison between Bosch and Cryostat DRIE Processes as a Roadmap to next Generation Equipment. J. Micromech. Microeng. 2009;19:033001. doi: 10.1088/0960-1317/19/3/033001

106. Xu T., Tao Z., Li H., Tan X., Li H. Effects of Deep Reactive Ion Etching Parameters on Etching Rate and Surface Morphology in Extremely Deep Silicon Etch Process with High Aspect Ratio. Adv. Mech. Eng. 2017;9:168781401773815. doi: 10.1177/1687814017738152.

107. Dussart R., Tillocher T., Lefaucheux P., Boufnichel M. Plasma Cryogenic Etching of Silicon: From the Early Days to Today's Advanced Technologies. J. Phys. D Appl. Phys. 2014;47:123001. doi: 10.1088/0022-3727/47/12/123001.

108. Fursenko O., Bauer J., Marschmeyer S., Stoll H.-P. Through Silicon via Profile Metrology of Bosch Etching Process Based on Spectroscopic Reflectometry. Microelectron. Eng. 2015;139:70-75. doi: 10.1016/j.mee.2015.04.121.

109. Burkett S.L., Jordan M.B., Schmitt R.P., Menk L.A., Hollowell A.E. Tutorial on Forming Through-Silicon Vias. J. Vacuum Sci. Technol. A. 2020;38:031202. doi: 10.1116/6.0000026.

110. Chen, et al.: Effect of Process Parameters on the Surface Morphology and Mechanical Performance of Silicon Structures After Deep Reactive Ion Etching (DRIE), vol. 11. ETATS-UNIS: Institute of Electrical and Electronics Engineers, New York (2002)

111. Marc, J.: Madou, Fundamentals of Microfabrication and Nanotechnology. CRC Press, Boca Raton (2012)

112. Sandy Morais, Anaïs Cario, Na Liu, Dominique Bernard, Carole Lecoutre, Yves Garrabos, Anthony Ranchou-Peyruse, Sébastien Dupraz, Mohamed Azaroual, Ryan L. Hartman, Samuel Marre. (2020) Studying key processes related to CO 2 underground storage at the pore scale using high pressure micromodels. Reaction Chemistry & Engineering 5:7, pages 1156-1185.

113. Lifang Hu, Hao Wang, Yongzhi Xue, Fangrong Shi and Shaoping Chen, Study on the mechanism of Si-glass-Si two step anodic bonding process, 2018 J. Micromech. Microeng. 28 045003, DOI 10.1088/1361-6439/aaa878

114. Alvise Bagolini, Pietro Scauso, Stefano Sanguinetti and Pierluigi Bellutti, Silicon Deep Reactive Ion Etching with aluminum hard mask, 2019 Mater. Res. Express 6 085913, DOI 10.1088/2053-1591/ab2423

115. Mogab, C. J. Plasma Etching of Si and SiO2 — the Effect of Oxygen Additions To CF4 Plasmas / C. J. Mogab, A. C. Adams, D. L. Flamm // Journal of Applied Physics. — 1978. — Vol. 49, no. 7. — P. 3796—3803. — DOI: 10.1063/1.325382. — URL: http://dx.doi.org/10.1063/L325382.

116. Lee, Y. H. Silicon Etching Mechanism and Anisotropy in CF4+O2 plasma /Y. H. Lee, M.-M. Chen // Journal of Applied Physics. — 1983. -- Vol. 54, no. 10. — P. 5966—5973. — DOI: 10. 1063 / 1. 331774. — URL: http: // dx.doi.org/10.1063/1.331774.

117. Herrick, A. Etching Silicon By SF6 in a Continuous and Pulsed Power Helicon Reactor / A. Herrick, A. J. Perry, R. W. Boswell // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. — 2003. — Vol. 21, no. 4. — P. 955—966.

154

— DOI: 10.1116/1.1575215. — URL: http://dx.doi.org/10.1116/L1575215.

118. Barone, M. E. Molecular-dynamics Simulations of Direct Reactive Ion Etching of Silicon By Fluorine and Chlorine / M. E. Barone, D. B. Graves // Journal of Applied Physics. — 1995. — Vol. 78, no. 11. — P. 6604—6615. — DOI: 10.1063/1.360482. — URL: https://doi.org/10.1063/L360482.

119. Sanders G.A., Taranta A.A., Narayanan C., Fokoua E.N., Mousavi S.A., Strandjord L.K., Smiciklas M., Bradley T.D., Hayes J., Jasion G.T., et al. Hollow-core resonator fiber optic gyroscope using nodeless anti-resonant fiber. Opt. Lett. 2021;46:46-49. doi: 10.1364/OL.410387.

120. Kendall D.L. Vertical etching of silicon at very high aspect ratios. Annu. Rev. Mater. Sci. 1979;9:373-403. doi: 10.1146/annurev.ms.09.080179.002105.

121. Wu B., Kumar A., Pamarthy S. High aspect ratio silicon etch: A review. J. Appl. Phys. 2010;108:9. doi: 10.1063/1.3474652.

122. Wu Y., Olynick D.L., Goodyear A., Peroz C., Dhuey S., Liang X., Cabrini S. Cryogenic etching of nano-scale silicon trenches with resist masks. Microelectron. Eng. 2011;88:2785-2789. doi: 10.1016/j.mee.2010.11.055.

123. Sun Q., Tang J., Shen L., Lan J., Shen Z., Xiao J., Chen X., Zhang J., Wu Y., Xu J., et al. Fabrication of High Precision Silicon Spherical Microlens Arrays by Hot Embossing Process. Micromachines. 2022;13:899. doi: 10.3390/mi13060899.

124. Shi Z., Jefimovs K., Romano L., Stampanoni M. Towards the fabrication of high-aspect-ratio silicon gratings by deep reactive ion etching. Micromachines. 2020;11:864. doi: 10.3390/mi11090864.

125. Chang B., Leussink P., Jensen F., Hubner J., Jansen H. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process. Microelectron. Eng. 2018;191:77-83. doi: 10.1016/j.mee.2018.01.034.

126. Roxhed N., Griss P., Stemme G. A method for tapered deep reactive ion etching using a modified Bosch process. J. Micromech. Microeng. 2007;17:1087. doi: 10.1088/0960-1317/17/5/031.

127. Chang C., Wang Y., Kanamori Y., Snih J., Kawai Y., Lee C.K., Wu K., Esashi M. Etching submicrometer trenches by using the Bosch process and its application to the fabrication of antireflection structures. J. Micromech. Microeng. 2005;15:580. doi:

155

10.1088/0960-1317/15/3/020.

128. Hu R., Ma H., Yin H., Xun J., Chen K., Yu L. Facile 3D integration of Si nanowires on Bosch-etched sidewalls for stacked channel transistors. Nanoscale. 2020;12:2787-2792. doi: 10.1039/C9NR09000B.

129. Aydinoglu F., Pan A., Zhu C., Cuo B. Effect of oxygen plasma cleaning on nonswitching pseudo-Bosch etching of high aspect ratio silicon pillars. J. Vac. Sci. Technol. B. 2020;38:012804. doi: 10.1116/1.5122822.

130. Ouyang Z., Ruzic D.N., Kiehlbauch M., Schrinsky A., Torek K. Etching mechanism of the single-step through-silicon-via dry etch using SF6/C4F8 chemistry. J. Vac. Sci. Technol. A. 2014;32:041306. doi: 10.1116/1.4885500.

131. Zhang W., Huang R., Gao Q. Etching of smoothing/without undercutting deep trench in silicon with SF6/O2 containing plasmas. Eng. Res. Express. 2021;3:035048. doi: 10.1088/2631-8695/ac2720.

132. Jansen H., de Boer M., Burger J., Legtenberg R., Elwenspoek M. The black silicon method II: The effect of mask material and loading on the reactive ion etching of deep silicon trenches. Microelectron. Eng. 1995;27:475-480. doi: 10.1016/0167-9317(94)00149-O.

133. Saraf I.R., Goeckner M.J., Goodlin B.E., Kirmse K.H., Nelson C.T., Overzet L.J. Kinetics of the deposition step in time multiplexed deep silicon etches. J. Vac. Sci. Technol. B. 2013;31:011208. doi: 10.1116/1.4769873.

134. Zhang Y., Hou Z., Si C., Han G., Zhao Y., Lu X., Liu J., Ning J., Yang F. Effects of Mask Material on Lateral Undercut of Silicon Dry Etching. Micromachines. 2023;14:306. doi: 10.3390/mi14020306.

135. Gerlt M.S., Laubli N.F., Manser M., Nelson B.J., Dual J. Reduced Etch Lag and High Aspect Ratios by Deep Reactive Ion Etching (DRIE) Micromachines. 2021;12:542. doi: 10.3390/mi12050542.

136. Исследование и разработка процесса глубокого анизотропного плазменного травления кремния со сниженной шероховатостью боковых стенок структур / Голишников А. А., Дюжев Н. А., Парамонов В. В., Потапенко И. В., Путря М. Г., Сомов Н. М., Чаплыгин Ю. А. // Известия вузов. Электроника. 2023. Т. 28. № 6. С. 762-772. DOI: 10.24151/1561-5405-2023-28-6-762-772. - EDN: VNJRQE.

137. M. G. Putrya, V. V. Paramonov and T. V. Osipova, "Development of the

156

Silicon Through Anisotropic Plasma Etching Process", 2021 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), St. Petersburg and Moscow, Russia, 2021. pp. 2463-2466, doi: 10.1109/ElConRus51938.2021.9396279.

138. Chaplygin, Yury A., Tatiana V. Osipova, Nikita M. Somov, Vladislav V. Paramonov and Mikhail G. Putrya. "Development and Research of a Deep Anisotropic Process for the Polysilicon Gates Implementation Using the ICP-RF System." 2021 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (ElConRus) (2021): 2423-2427.

139. Y. A. Chaplygin, M. G. Putrya, V. V. Paramonov and T. V. Osipova, "Development of a Technological Route for the Silicon Microneedles Matrix Formation," 2020 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), St. Petersburg and Moscow, Russia, 2020, pp. 2119-2122.

140. Патент РФ № 2 829 471 - Способ плазмохимического травления кремниевых структур. Авторы: Парамонов Владислав Витальевич (RU), Сомов Никита Михайлович (RU), Путря Михаил Георгиевич (RU), Дюжев Николай Алексеевич (RU), Чаплыгин Юрий Александрович (RU), Голишников Александр Анатольевич (RU), Крупкина Татьяна Юрьевна (RU), Лосев Владимир Вячеславович

(RU).

141. Патент РФ № 2 824 746 - Способ глубокого анизотропного плазменного травления кремниевых структур. Авторы: Сомов Никита Михайлович (RU), Парамонов Владислав Витальевич (RU), Путря Михаил Георгиевич (RU), Дюжев Николай Алексеевич (RU), Чаплыгин Юрий Александрович (RU), Голишников Александр Анатольевич (RU), Крупкина Татьяна Юрьевна (RU), Лосев Владимир Вячеславович (RU), Осипова Татьяна Викторовна (RU), Потапенко Илья Викторович (RU).

142. Парамонов В.В., Сомов Н.М., Потапенко И.В., Голишников А.А., Путря М.Г. Минимизация шероховатости боковых поверхностей формируемых структур в процессах глубокого анизотропного плазменного травления кремния // Российский форум «Микроэлектроника 2023» Научная предконференция «Электронная компонентная база и радиоэлектронные системы».

Публикации автора по теме диссертации

1. Рудаков Г.А., Парамонов В.В. Применение метода комплексного планирования эксперимента для оптимизации процесса глубокого анизотропного плазменного травления кремния // Изв. вузов. Электроника. - 2019. - Т. 24. - № 4. -С. 350-362. DOI: 10.24151/1561-5405-2019-24-4-350-362

2. Исследование и разработка процесса глубокого анизотропного плазменного травления кремния со сниженной шероховатостью боковых стенок структур / Голишников А. А., Дюжев Н. А., Парамонов В. В., Потапенко И. В., Путря М. Г., Сомов Н. М., Чаплыгин Ю. А. // Известия вузов. Электроника. 2023. Т. 28. № 6. С. 762-772. DOI: 10.24151/1561-5405-2023-28-6-762-772. - EDN: VNJRQE.

3. M. G. Putrya, V. V. Paramonov and T. V. Osipova, "Development of the Silicon Through Anisotropic Plasma Etching Process", 2021 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), St. Petersburg and Moscow, Russia, 2021. pp. 2463-2466, doi: 10.1109/ElConRus51938.2021.9396279.

4. Chaplygin, Yury A., Tatiana V. Osipova, Nikita M. Somov, Vladislav V. Paramonov and Mikhail G. Putrya. "Development and Research of a Deep Anisotropic Process for the Polysilicon Gates Implementation Using the ICP-RF System." 2021 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (ElConRus) (2021): 2423-2427.

5. Y. A. Chaplygin, M. G. Putrya, V. V. Paramonov and T. V. Osipova, "Development of a Technological Route for the Silicon Microneedles Matrix Formation," 2020 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), St. Petersburg and Moscow, Russia, 2020, pp. 2119-2122.

6. G. A. Rudakov, V. V. Paramonov and G. S. Eritsyan, "Role of annealing conditions of LPCVD thin silicon films in the changing of the residue stress for MEMS application," 2018 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), Moscow and St. Petersburg, Russia, 2018, pp. 20112013, doi: 10.1109/EIConRus.2018.8317507.

7. Krasnoborodko, Sergey & Vysokikh, Yury & Paramonov, Vladislav & Medvedev, Boris & Filippov, Nikolay & Andreev, Alexey. (2022). The Study of

Deposition Process of the TiN coating for Atomic Force Microscopy Cantilevers. 1-4. 10.1109/ICCT56057.2022.9976789.

8. Paramonov, Vladislav V., Nikolay A. Dyuzhev, Nikolay A. Filippov, Vasiliy A. Koshelev, Maksim U. Chinenkov and Gleb D. Demin. "In Based TCB Bonding for MEMS Application." 2023 IEEE 24th International Conference of Young Professionals in Electron Devices and Materials (EDM) (2023): 20-24.

9. Патент РФ № 2 818 140 - Планарная газохроматографическая колонка с пилларами каплевидного профиля сечения. Авторы: Миланина Ксения Игоревна (RU), Платонов Владимир Игоревич (RU), Агафонов Андрей Николаевич (RU), Андреев Тарас Андреевич (RU), Дюжев Николай Алексеевич (RU), Чиненков Максим Юрьевич (RU), Парамонов Владислав Витальевич (RU), дата государственной регистрации в Государственном реестре изобретений Российской Федерации 24 апреля 2024 г. Срок действия исключительного права на изобретение истекает 13 февраля 2043 г. (приложение 2).

10. Патент РФ № 2 829 471 - Способ плазмохимического травления кремниевых структур. Авторы: Парамонов Владислав Витальевич (RU), Сомов Никита Михайлович (RU), Путря Михаил Георгиевич (RU), Дюжев Николай Алексеевич (RU), Чаплыгин Юрий Александрович (RU), Голишников Александр Анатольевич (RU), Крупкина Татьяна Юрьевна (RU), Лосев Владимир Вячеславович (RU). (приложение 2).

11. Патент РФ № 2 824 746 - Способ глубокого анизотропного плазменного травления кремниевых структур. Авторы: Сомов Никита Михайлович (RU), Парамонов Владислав Витальевич (RU), Путря Михаил Георгиевич (RU), Дюжев Николай Алексеевич (RU), Чаплыгин Юрий Александрович (RU), Голишников Александр Анатольевич (RU), Крупкина Татьяна Юрьевна (RU), Лосев Владимир Вячеславович (RU), Осипова Татьяна Викторовна (RU), Потапенко Илья Викторович (RU). (приложение 2).

12. Парамонов В.В., Путря М.Г., Чаплыгин Ю.А. "Исследование и разработка плазменного процесса сквозного травления кремния со сверхмалым аспектным отношением для формирования МЭМС-датчиков."// XIV Всероссийская научная конференция молодых ученых «Наука. Технологии. Инновации», Новосибирск, 30 ноября - 04 декабря 2020 г.- Сборник научных трудов XIV

159

Всероссийская научная конференция молодых ученых «Наука. Технологии. Инновации», с. 417-420

13. М.Г. Путря, Т.В. Осипова, В.В. Парамонов, Н.М. Сомов, Ю.А. Чаплыгин, Т.Ю. Крупкина «Разработка и исследование процесса анизотропного плазменного травления поликремниевых структур в ЯР-ЮР реакторе."// XLI Всероссийская конференция по проблемам науки и технологий «МСНТ-2021» -Сборник научных трудов XLI Всероссийская конференция по проблемам науки и технологий «МСНТ-2021».

14. Парамонов В.В., Сомов Н.М., Румянцев. А.В., Путря М.Г., д. т.н., Осипова Т.В., Голишников А.А., Исследование морфологии и состава поверхности тонкопленочных структур нитрида кремния, сформированных процессами плазменного травления. Российский форум «Микроэлектроника 2022» Научная конференция «Электронная компонентная база и микроэлектронные модули». Сборник тезисов Роза Хутор, МКК «Роза Холл», 2-8 октября 2022 г. М.: ТЕХНОСФЕРА, 2022. -786 с.

15. Парамонов В.В., Сомов Н.М., Потапенко И.В., Голишников А.А., Путря М.Г. Минимизация шероховатости боковых поверхностей формируемых структур в процессах глубокого анизотропного плазменного травления кремния // Российский форум «Микроэлектроника 2023» Научная предконференция «Электронная компонентная база и радиоэлектронные системы».

Приложение 1

Акт о внедрении результатов диссертационной работы

== fluid

Общество с ограниченной ответственностью «ФЛЮИД-ИК» Юр. адрес: 443067, Самарская область, г. Самара, у л. Карбышева, д. 656, офис 102 Email: fluidik.contact@yandex.ru Тел. +7 961 383 33 51 ОГРН 1216300026920; ИНН: 6318064543; КПП: 631801001.

15.03.2023 г.

г. Самара

«15» марта 2023 г.

Утверждаю (О «ФЛЮИД-ИК» Миланина К.И.

АКТ

о внедрении результатов диссертационной работы Парамонова Владислава Витальевича

«Исследование и разработка критических технологических процессов формирования МЭМС колонки газового хроматографа»

Диссертация посвящена разработке критически важных процессов формирования МЭМС колонки хроматографа на кремниевом кристалле. Полученные автором практические результаты по улучшению выходных характеристик процессов глубокого анизотропного плазменного травления кремния методом ВовсЬ-процесса и анодного бондинга были внедрены в опытно-конструкторскую работу ООО «ФЛЮИД-ИК» по

«Разработка новой технологии создания микрофлюидных пленарных газохроматографических колонок пилларного типа на кремниевых подложках» в

рамках договора № 2109/212 от 23 декабря 2021 года между ООО «ФЛЮИД-ИК» и НИУ

«МИЭТ».

Проект ООО «ФЛЮИД-ИК» «Разработка микрофлюидных планарных газохроматографических колонок пилларного типа на кремниевых подложках»

был поддержан Фондом содействия инновациям (договор №19ГТС1РЭС 14/72119 от 24 декабря 2021 г.).

теме:

Главный технолог

Т. А. Андреев

Приложение 2 Патенты на изобретения

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.