Ультразвуковой бесконтактный корреляционный метод контроля пространственно-неоднородной структуры открытых газовых потоков тема диссертации и автореферата по ВАК РФ 00.00.00, кандидат наук Бычкова Ирина Юрьевна
- Специальность ВАК РФ00.00.00
- Количество страниц 152
Оглавление диссертации кандидат наук Бычкова Ирина Юрьевна
ВВЕДЕНИЕ
СПИСОК ОСНОВНЫХ СИМВОЛОВ И СОКРАЩЕНИЙ
ГЛАВА 1 УЛЬТРАЗВУКОВОЙ КОНТРОЛЬ НЕОДНОРОДНОЙ ГАЗОВОЙ СРЕДЫ
1.1 Возможности ультразвукового контроля газовой среды
1.2 Обработка сигналов в импульсных ультразвуковых приборах
1.3 Выводы к главе
ГЛАВА 2 МЕТОД КОНТРОЛЯ ПРОСТРАНСТВЕННО-НЕОДНОРОДНОЙ СТРУКТУРЫ ОТКРЫТЫХ ГАЗОВЫХ ПОТОКОВ И ОЦЕНКА ЕГО ТОЧНОСТНЫХ ХАРАКТЕРИСТИК
2.1 Метод контроля пространственно-неоднородной структуры открытых газовых потоков с использованием корреляционной обработки фазоманипулированных ультразвуковых сигналов
2.2 Анализ погрешности измерений при использовании предлагаемого метода контроля
2.3 Выводы к главе
ГЛАВА 3 МОДЕЛИРОВАНИЕ УЛЬТРАЗВУКОВЫХ ИЗМЕРЕНИЙ В НЕОДНОРОДНОЙ ГАЗОВОЙ СРЕДЕ
3.1 Моделирование задержки ультразвукового сигнала в лучевом приближении
3.2 Программа, моделирующая задержку в лучевом приближении
3.3 Моделирование задержки ультразвукового сигнала в случайно-неоднородной среде (волновая задача)
3.4 Выводы к главе
ГЛАВА 4 МЕТОДИКА ЭКСПЕРИМЕНТАЛЬНЫХ ИЗМЕРЕНИЙ И СРАВНЕНИЕ С РЕЗУЛЬТАТАМИ МОДЕЛИРОВАНИЯ
4.1 Схема и характеристики импульсного ультразвукового прибора
4.2 Импульсные ультразвуковые измерения в конвективном потоке воздуха над нагретой поверхностью
4.3 Импульсные ультразвуковые измерения в турбулентном потоке вентилятора
4.4 Выводы к главе
ЗАКЛЮЧЕНИЕ
СПИСОК ЛИТЕРАТУРЫ
ПРИЛОЖЕНИЕ 1. Акт об использовании результатов диссертации в
ООО «Интеллектуальные сети»
ПРИЛОЖЕНИЕ 2. Справка о внедрении результатов диссертационного исследования в учебный процесс ФГБОУ ВО «Чувашский государственный университет имени
И.Н. Ульянова»
ПРИЛОЖЕНИЕ 3. Свидетельство о государственной регистрации программы для ЭВМ
ПРИЛОЖЕНИЕ 4. Код программы для ПЛИС XC3S500E семейства Spartan-3E
Рекомендованный список диссертаций по специальности «Другие cпециальности», 00.00.00 шифр ВАК
Система управления вентиляторной установкой на основе доплеровского ультразвукового контроля расхода воздуха2018 год, кандидат наук Ядарова Ольга Николаевна
Высокочувствительная акустическая диагностика неоднородностей и тепловых полей в биомедицинских и технических приложениях2011 год, доктор физико-математических наук Мансфельд, Анатолий Дмитриевич
Ультразвуковая аппаратура с волноводным акустическим трактом2011 год, кандидат наук Солдатов, Алексей Иванович
Система активного дистанционного виброконтроля электрооборудования на основе цифровой обработки ультразвуковых сигналов2022 год, кандидат наук Бычков Анатолий Владимирович
Ультразвуковая аппаратура с волноводным акустическим трактом2011 год, доктор технических наук Солдатов|, Алексей Иванович
Введение диссертации (часть автореферата) на тему «Ультразвуковой бесконтактный корреляционный метод контроля пространственно-неоднородной структуры открытых газовых потоков»
ВВЕДЕНИЕ
Актуальность темы исследования. Задачи контроля воздушной (газовой) среды актуальны для самых разных областей науки и техники. В большинстве случаев газовые потоки турбулентны, а стратифицированная газовая среда характеризуется значительной пространственно-временной неоднородностью. Для контроля газовых сред применяются как контактные, так и бесконтактные (дистанционные) методы, но контактные методы неизбежно приводят к искажению структуры потока. Дистанционные методы основаны на использовании лазерного и ультразвукового излучений. Активные лазерные методы, в основном, используют принципы пространственного сканирования, спектроскопии и интерферометрии, обладают высокой точностью, но оказываются дорогостоящими и непригодны для оптически непрозрачных сред. Ультразвуковые (УЗ) методы относительно удобны и доступны для контроля газовых сред в небольших пространствах и составляют серьезную конкуренцию оптическим методам. Их достоинства связаны с существующей значительной зависимостью скорости звука от температуры и гибкостью учета пространственных масштабов измерений.
УЗ импульсными методами решается широкий круг задач контроля газовых сред. Чаще всего эти методы используются в расходометрии, где ключевой проблемой является измерение малых скоростей потока, что требует повышения разрешения малых относительных задержек. Повышение временного разрешения также актуально для УЗ уровнемеров, особенно при измерении уровня жидких и сыпучих сред, где происходит отражение ультразвука от неровной поверхности.
Современные УЗ измерительные приборы теоретически должны обеспечивать высокую точность и разрешение. Однако на практике их точность ограничивается условиями распространения акустических волн и значительным увеличением погрешности измерений в зависимости от случайной модуляции амплитуды и фазы сигнала в неоднородной среде. При разработке УЗ
контрольно-измерительной аппаратуры требуется учет изменчивости УЗ сигналов.
Предлагаемый в работе метод, основанный на измерении относительных задержек фазоманипулированных по коду Баркера ультразвуковых сигналов, прошедших по разным траекториям, позволяющий оценить как регулярную, так и случайную неоднородность среды и расширить функциональные возможности ультразвуковой аппаратуры, является актуальным.
Объект исследования - методы и приборы импульсного ультразвукового контроля газовых сред.
Предмет исследования - обработка импульсных фазоманипулированных ультразвуковых сигналов и схемы измерений для бесконтактного контроля пространственно-неоднородной структуры открытых газовых потоков.
Цель исследования - разработка бесконтактного метода контроля пространственно-неоднородной структуры открытых газовых потоков с применением корреляционной обработки импульсных фазоманипулированных ультразвуковых сигналов.
Научная задача исследования - научное обоснование бесконтактного корреляционного метода, основанного на измерении малых относительных задержек фазоманипулированных по коду Баркера ультразвуковых сигналов, прошедших по разным траекториям, для контроля регулярной и случайной пространственной неоднородности газовой среды.
Для достижения поставленной цели решались следующие задачи:
1. Разработка и обоснование ультразвукового бесконтактного корреляционного метода контроля пространственно-неоднородной структуры открытых газовых потоков на основе измерения относительных задержек фазоманипулированных по коду Баркера сигналов, прошедших по разным траекториям.
2. Оценка точностных характеристик предлагаемого метода.
3. Моделирование распространения ультразвука и импульсных измерений в неоднородной газовой среде для оценки пределов применимости предлагаемого метода.
4. Программно-аппаратная реализация и общая проверка функционирования предлагаемого метода на основе сравнения результатов моделирования и эксперимента.
Степень разработанности темы исследования. Основы теории распространения и рассеяния акустических волн в неоднородной (турбулентной) среде заложены Блохинцевым Д.И., Бреховских Л.М., Обуховым А.М., Ishimaru A., Красильниковым В.А., Кляцкиным В.И. и т.д.; ультразвукового контроля - Соколовым С.Я., Бергманом Л., Колесниковым А.Е., Ермоловым И.Н., Королевым М.В. и др. Методы обработки импульсных ультразвуковых сигналов развиваются во многих исследованиях как отечественных, так и зарубежных ученых. Известны научные труды Солдатова А.И., Мансфельда А.В., Aldawi F.J., Bui G.T., Carotenuto R., Elmer H., Granja F.S., Hirata S., Huang Y.P., Ma S., Nagy C., Teufel M., Velmurugan R. и др. При этом вопросы, связанные с импульсным ультразвуковым контролем пространственно-неоднородной структуры открытых газовых потоков, остаются недостаточно изученными.
Научная новизна исследования:
1. Предложен ультразвуковой бесконтактный корреляционный метод контроля пространственно-неоднородной структуры открытых газовых потоков, основанный на измерении относительных задержек фазоманипулированных по коду Баркера сигналов, прошедших по разным траекториям, отличающийся вычислением средневзвешенного временного положения мощности взаимной корреляционной функции эталонного сигнала и сигнала на входе приемника. Это позволяет оценить характеристики пространственно-неоднородной структуры открытых газовых потоков и повысить разрешающую способность импульсной ультразвуковой аппаратуры.
2. Доказано, что использование предлагаемого метода в многолучевых схемах измерений дает возможность значительно повысить точность определения малых относительных задержек между сигналами при их наложении в приемном тракте и оценить как регулярную, так и случайную неоднородность среды (скорость потока и степень турбулентности).
3. Показано, что экспериментальное использование предлагаемого метода в двухлучевых схемах контроля турбулентной газовой среды с определением относительной задержки между прямым и прошедшим через неоднородную среду сигналами позволяет оценить степень неоднородности среды, а также пределы применимости геометрической акустики и других асимптотических методов при моделировании распространения ультразвука.
Практическая ценность исследования:
1. Диссертационное исследование формирует методические и практические основы для разработок новых и совершенствования существующих УЗ приборов для предприятий аграрно-промышленного комплекса (сушилки, насосные агрегаты, системы вентиляции овощехранилищ и т.д.), химической промышленности и теплоэнергетики в качестве уровнемеров жидких сред с учетом конвекции и кипения (при контроле процессов тепло- и массопереноса), а также в качестве многолучевых расходомеров и многокомпонентных анемометров.
2. Предлагаемый способ обработки импульсных ультразвуковых сигналов, позволяющий повысить разрешающую способность импульсной ультразвуковой аппаратуры, может быть использован в ультразвуковых системах локального позиционирования в робототехнике.
3. Дистанционные методы оценки параметров турбулентных воздушных потоков потенциально представляют большой интерес для метеорологии и контроля пространственного положения летательных аппаратов на малых скоростях и могут использоваться в авиационном приборостроении.
Внедрение результатов исследования. Результаты диссертационного исследования внедрены в ООО «Интеллектуальные сети» при разработке комплексных программ мониторинга и контроля технологических процессов на объектах энергетики; в учебном процессе ФГБОУ ВО «ЧГУ им. И.Н. Ульянова».
Отдельные результаты исследования использовались в проекте РФФИ №14-08-31271 мол_а «Доплеровский ультразвуковой контроль открытых воздушных потоков» (2014-2015гг.)
На программное обеспечение получено свидетельство о государственной регистрации программы для ЭВМ № 2017614171.
Методы исследования. При решении поставленных задач использовались методы математической физики, математического моделирования, теории измерений и обработки сигналов, методы функционального и объектно-ориентированного программирования. Экспериментальные исследования проводились при помощи современных цифровых средств измерений. При обработке результатов широко применялось современное программное обеспечение.
Достоверность полученных результатов подтверждается использованием известных положений фундаментальных наук; корректным использованием выбранных моделей; согласием результатов математического моделирования и экспериментальных измерений.
Апробация работы. Основные положения диссертационной работы и ее результаты докладывались и обсуждались на Международных конференциях: «Актуальные проблемы энергетического комплекса: добыча, производство, передача, переработка и защита окружающей среды» - APEC 2020 (Москва, 2020 г.); «Электротехнические комплексы и системы» - UralCon (Челябинск, 2019 г.); «Нигматуллинские чтения-2018» (Казань, 2018 г.); «Потоки и структуры в жидкостях» (Владивосток, 2018 г.); «XXIII Туполевские чтения (школа молодых ученых)» (Казань, 2017 г.); «Математика. Компьютер. Образование» (Пущино, 2013 г.) и всероссийских конференциях: «Динамика
нелинейных дискретных электротехнических и электронных систем» (Чебоксары, 2013 г., 2015 г., 2017 г., 2019 г.); «Информационные технологии в электротехнике и электроэнергетике» (Чебоксары 2016 г., 2018 г., 2020 г.).
Публикации. По теме диссертационной работы опубликовано 15 работ, в том числе 2 статьи в изданиях, включенных в базы данных Scopus и WoS [102, 104], 8 статей в российских рецензируемых научных журналах, рекомендованных ВАК Министерства образования и науки РФ [11, 12, 13, 14, 15, 17, 18, 19], 4 работы в материалах докладов на международных [29, 103] и всероссийских [30, 31] конференциях. Получено 1 свидетельство о регистрации программы для ЭВМ [10] - см приложение 3.
Структура и объем работы. Диссертация состоит из введения, четырех глав, заключения и списка использованной литературы. Работа изложена на 145 страницах машинописного текста без учета Приложений, содержит 85 рисунков, 11 таблиц, 38 формул и список использованной литературы из 1 50 источников отечественных и зарубежных авторов.
Диссертация соответствует паспорту специальности 2.2.8 «Методы и приборы контроля и диагностики материалов, изделий, веществ и природной среды» по следующим пунктам:
п. 1. «Научное обоснование новых и совершенствование существующих методов, аппаратных средств и технологий контроля, диагностики материалов, изделий, веществ и природной среды, способствующее повышению надёжности изделий и экологической безопасности окружающей среды» (разработан бесконтактный корреляционный метод контроля пространственно-неоднородной структуры открытых газовых потоков, основанный на измерении относительных задержек фазоманипулированных по коду Баркера ультразвуковых сигналов, прошедших по разным траекториям, отличающийся вычислением средневзвешенного временного положения мощности взаимной корреляционной функции эталонного сигнала и сигнала на входе приемника).
Основные положения, выносимые на защиту:
1. Бесконтактный корреляционный метод контроля пространственно -неоднородной структуры открытых газовых потоков на основе измерения относительных задержек фазоманипулированных по коду Баркера ультразвуковых сигналов, прошедших по разным траекториям, позволяющий повысить пространственно-временную разрешающую способность ультразвуковых измерений.
2. Алгоритм и программа для моделирования измерений в неоднородной газовой среде при использовании предлагаемого метода контроля.
3. Точностные характеристики, теоретическая и экспериментальная оценка пределов применимости предлагаемого метода контроля.
Личный вклад автора. Анализ и интерпретация экспериментальных данных, обработка сигналов и математическое моделирование проводились автором лично. В совместных работах автору принадлежит постановка эксперимента и участие в экспериментальных исследованиях, разработка алгоритмов и основных технических решений.
СПИСОК ОСНОВНЫХ СИМВОЛОВ И СОКРАЩЕНИЙ
А - асимметрия распределения случайной величины; Аа - амплитуда прямого сигнала;
Аг - амплитуда отраженного (от поверхности либо неоднородности в турбулентной среде) сигнала;
с - скорость звука в среде, [м/с];
е - степень турбулентности среды, [%];
f - частота, [Гц];
/о - собственная частота прибора (частота резонанса); ^ - частота дискретизации сигнала, [Гц]; Af - ширина резонансной кривой;
f(A) - плотность вероятности распределения амплитуды огибающей; в (/) - спектр сигнала;
Н - расстояние преобразователей ультразвука до нагретой поверхности (для конвективного потока) или до оси вентилятора (для турбулентного потока), [м];
- амплитудно-частотная характеристика; к - волновое число, [рад/м];
Ь - длина (количество символов) последовательности Баркера;
- расстояние между излучателем и приемником ультразвука, [м]; п - показатель преломления среды;
- частота вращения вентилятора, [об/мин]; р - давление среды, [Па];
Р - доля числа случаев, попадающих в соответствующий интервал гистограммы;
Q - добротность;
Q0 - теплопроизводительность источника тепла;
Я(т) - взаимная корреляционная функция, [Б2];
- частотная зависимость коэффициента отражения плоской волны от случайно-неоднородного слоя;
t - время, [с];
- температура среды, [°С]; Т - температура среды, [К];
- период звуковой волны, [с];
Тп - время распространения сигнала от источника до приемника в среде (абсолютная временная задержка), [с];
АТП - разница во времени распространения сигналов от источника до приемника в среде (относительная временная задержка), [с];
ТР - длительность пакета импульсов, [с];
Тк - ширина корреляционной функции, [с];
Т5 - период дискретизации сигнала, [с];
и(х) - волновое поле;
и(£) - напряжение, [В];
и0 - пороговое напряжение, [В];
иЕ (£) - эталонный сигнал;
(£) - суммарный сигнал на входе приемника;
V - скорость потока, [м/с];
V - коэффициент отражения волны в слоистой среде; Ш - коэффициент прохождения волны в слоистой среде; Ш(т) - временная зависимость мощности ВКФ;
1 - характеристический импеданс среды, [ кг/(см2)];
в - параметр, определяющий статистическую связь амплитуды и фазы квазигармонического сигнала в распределении огибающей нестационарного случайного узкополосного сигнала;
£(х) - функция, описывающая пространственные неоднородности среды в уравнении Гельмгольца;
р - плотность среды, [кг/м3];
а - среднеквадратичное отклонение;
- уровень шума, [%];
т - время во взаимной корреляционной функции, [с]; тсос - средневзвешенное положение мощности ВКФ, [с];
- фазово-частотная характеристика;
^ - «акустическая длина» пути (эйконал);
^ - циклическая частота колебаний волны, [рад/с];
АКФ - автокорреляционная функция;
АЧХ - амплитудно-частотная характеристика;
ВКФ - взаимная корреляционная функция;
ДН - диаграмма направленности;
КФ - корреляционная функция;
МИП - метод инвариантного погружения;
УЗ - ультразвук;
УЗП - ультразвуковой преобразователь;
ФМ - фазовая манипуляция;
ФЧХ - фазово-частотная характеристика;
ГЛАВА 1 УЛЬТРАЗВУКОВОЙ КОНТРОЛЬ НЕОДНОРОДНОЙ
ГАЗОВОЙ СРЕДЫ
1.1 Возможности ультразвукового контроля газовой среды
В настоящее время ультразвук получил широкое распространение. Он используется в военной технике, технологических процессах (шлифовке, сварке и других), дефектоскопии, медицинской диагностике, измерительных приборах [61]. В различных средах ультразвук ведет себя по-разному. Жидкости и твёрдые тела представляют собой, как правило, хорошие проводники ультразвука. В газах же и, в частности, в воздухе ультразвук распространяется с большим затуханием. Несмотря на это, УЗ приборы, функционирующие в газовых средах, находят применение в качестве измерителей расстояния (дальномеров и уровнемеров) [33, 42, 71, 92, 113, 115, 119, 123], измерителей скоростей газовых и воздушных потоков (расходомеров, анемометров) [55, 91, 106, 109, 126, 137, 145], измерителей температуры (УЗ термометров) [109, 127, 148]. УЗ контроль неоднородных сред требует комплексного анализа и обработки сигналов [57, 60, 100].
Отличительной особенностью ультразвука является способность проходить сквозь исследуемый объект и, таким образом, «собирать» информацию не только на поверхности или на краю, но и в глубине структуры исследуемого объекта. Рассмотрим работу УЗ приборов для контроля газовой среды в сравнение с альтернативными методами измерения.
Методы измерения расстояния
Для измерения расстояния, а также уровня жидких и/или сыпучих веществ используются устройства измерения, работающие на разных принципах: поплавковые, гидростатические, емкостные, радиолокационные, оптические, ультразвуковые [33]. Достоинством УЗ уровнемеров является возможность измерения уровня в труднодоступных местах и при отсутствии контакта с контролируемой средой [123]. Более того, имеется возможность устанавливать датчики снаружи емкостей с исследуемыми веществами. Точность УЗ уровнемеров и дальномеров выше, чем у большинства
перечисленных измерителей. Оптические уровнемеры обладают более высокой точностью, но все же значительно уступают ультразвуковым уровнемерам по стоимости.
Методы измерения скорости, расхода газа
Для измерения скорости движения воздушных и газовых потоков используются анемометры. Различают термические, вращающиеся (крыльчатые, чашечные), оптические (доплеровские) и ультразвуковые анемометры. Расходомеры также измеряют скорость движения потока в качестве промежуточного значения для определения расхода вещества. Существуют расходомеры переменного и постоянного перепада давления, вихревые, тахометрические, оптические и ультразвуковые расходомеры [54, 55]. Рассмотрим принципы работы анемометров и расходомеров.
В термических анемометрах в поток вносится тонкая платиновая нить, через которую пропускается электрический ток [9]. Как следствие, проводник нагревается. При обтекании проводника потоком газа он охлаждается, и изменяется его электрическое сопротивление. По значению измеряемого сопротивления проводника судят о скорости потока газа. Термические анемометры благодаря малой инерционности используются для определения мгновенных значений скоростей потока. Диапазон измеряемых скоростей -от 0,1 до 30 м/с. Главным недостатком термоанемометров является непригодность измерения больших скоростей потока в связи с разрывом тонкого проводника.
Расходомеры переменного перепада давления основаны на сужении движущегося по трубопроводу потока вещества с помощью дросселирующих устройств (диафрагмы, трубки Вентури, сопла) [54]. Наиболее простым и распространенным сужающим устройством является диафрагма. Сужение потока приводит к возрастанию его средней скорости и возникновению разности давлений до сужения и в суженном сечении. Измерение расхода сводится к измерению перепада давлений, связанного со скоростью потока.
Метод определения скорости потока по перепаду давления применяется также в трубке Пито, которая представляет собой Г-образную трубку, один конец которой направлен в сторону набегающего потока. Комбинированный прибор для измерения динамического давления называется трубкой Прандтля, в составе которого присутствует трубка Пито, а также напорная трубка для измерения статического давления потока. Трубка Пито предназначена для измерения полного давления (совокупности статического давления и давления от скорости потока). Разница полного и статического давлений измеряется так называемым дифференциальным манометром (дифманометром). Для получения расчетной формулы, связывающей показание дифманометра с расходом потока, используется уравнение Бернулли.
Различают жидкостные или деформационные дифманометры [54].
У жидкостных дифманометров измеряемый перепад давления уравновешивается разностью давлений, создаваемых столбами жидкости в минусовом и плюсовом коленах. В качестве рабочей жидкости чаще всего применяется ртуть. Такие манометры обладают высокой точностью и надежностью действия, но они инерционны и выходят из строя при односторонних перегрузках.
В деформационных дифманометрах перепад давления уравновешивается силами упругости мембраны или сильфона и измеряется по перемещению чувствительного элемента. Такие дифманометры малоинерционны, но их показания зависят от степени совершенства упругих свойств мембран или сильфонов. При недостаточной надежности предохранительных устройств в условиях перегрузки возможно их повреждение.
Существенными недостатками использования расходомеров переменного перепада давления являются потеря давления, вызванная потерей энергии потока в мертвых зонах, находящихся до и за сужающим устройством; квадратичная зависимость между расходом и разностью давлений, требующая использования различных типов устройств для получения равномерной шкалы. Точность расходомера гарантируется в пределах шкалы от 30 до 100%, т.к. в
начале шкалы резко увеличивается относительная погрешность измерения перепада давления.
Для измерения скоростей летательного аппарата наибольшее распространение получили приемники воздушных давлений, принцип действия которых также заключается в определении разницы полного и статического давлений [80].
В расходомерах постоянного перепада давления наибольшее применение получили ротаметры [43]. Ротаметр представляет собой вертикальную конусную трубку, в которой находится поплавок. Проходящий через ротаметр снизу поток газа поднимает поплавок до тех пор, пока действующие на поплавок силы не уравновесят его положение. При равновесии сил поплавок устанавливается на некоторой высоте, зависящей от расхода. Недостатками использования ротаметров являются непригодность для измерения расхода сред с высокими температурой и давлением, требование установки исключительно на вертикальных участках трубопроводов [46].
Вихревые расходомеры основаны на зависимости расхода от частоты колебаний давления, которые возникают в потоке в результате вихреобразования или колебания струи [55]. Преимущественное распространение получили вихревые расходомеры с телом обтекания на пути потока. Частота возникающих вихрей пропорциональна скорости потока. К недостаткам вихревых расходомеров относят значительную потерю давления (до 50 кПа), непригодность на малых скоростях потока, требование для монтажа больших прямолинейных участков трубопровода, чувствительность к вибрациям и примесям в веществе.
Тахометрические расходомеры основаны на вращении чувствительного элемента под действием потока, скорость которого пропорциональна объемному расходу измеряемой среды [54]. Недостатками являются высокая чувствительность к загрязнениям и примесям, что приводит к необходимости установки специальных фильтров для защиты от образования отложений; механический износ подвижных элементов конструкции.
Для определения малых скоростей потока наиболее простым и удобным контактным прибором является чашечный анемометр [35], состоящий из полусферических чашек, симметрично расположенных на крестообразном основании, закрепленном на валу ротора. Диапазон измеряемых скоростей потока составляет от 0,2 до 40 м/с. Частота вращения ротора пропорциональна скорости движения потока. В простейших анемометрах вращение ротора передаётся на механический счётчик числа оборотов. Для преобразования числа оборотов в электрическую величину на оси анемометра размещается постоянный магнит, при движении которого наводится электродвижущая сила в расположенной рядом катушке.
Среди оптических расходомеров широкое распространение получили расходомеры, основанные на эффектах Доплера и Физо-Френеля [55]. Оптические расходомеры применяются в трубах небольшого диаметра, их погрешность очень мала и составляет не более 0,5 %. Достоинствами их являются высокая чувствительность, малая инерционность, широкий диапазон измеряемых скоростей, инвариантность по отношению к направлению потока. Недостатками их являются требование к прозрачности измеряемых сред и дороговизна, т.к. требуются мощные лазерные источники. Существуют относительно недорогие оптические расходомеры, использующие маломощные источники света. Такие устройства более чувствительны к эффектам поглощения в среде, что ведет к потере точности.
Лазерная доплеровская анемометрия - оптический метод определения направления и скорости частиц, взвешенных в движущемся потоке [69]. Размер частиц может быть порядка 0,5 - 20 микрон. В лазерном анемометре в интересующей области потока образуется семейство интерференционных полос с помощью двух когерентных лазерных пучков. Скорость движущихся в потоке частиц, соответственно и скорость потока, определяются по доплеровскому сдвигу частоты рассеянного от частиц света.
В ультразвуковых расходомерах определение расхода основано на измерении того или иного акустического эффекта, возникающего при
прохождении УЗ колебаний через контролируемый газовый поток [30]. Выделяют расходомеры, основанные на эффекте Доплера, и расходомеры, основанные на перемещении УЗ колебаний движущейся средой [46, 55]. Наибольшее использование получили расходомеры, определяющие скорость потока (расход) по разнице времени прохождения УЗ волн вдоль и против направления движущегося потока [137].
Похожие диссертационные работы по специальности «Другие cпециальности», 00.00.00 шифр ВАК
Диагностика потоков жидкостей методом обращения волнового фронта ультразвуковых волн2009 год, кандидат физико-математических наук Смагин, Николай Владимирович
Спектральная обработка сигналов в ультразвуковых расходомерах систем водоснабжения2009 год, кандидат технических наук Никандров, Максим Валерьевич
Разработка и применение новых методов исследования кинематической структуры водного потока1999 год, кандидат технических наук Кушер, Анатолий Михайлович
Корреляционные методы измерения расхода жидкости2000 год, доктор технических наук Ватин, Николай Иванович
Ультразвуковая диагностика восходящих газожидкостных потоков с использованием распределенного электромеханического преобразователя2012 год, кандидат физико-математических наук Владимиров, Илья Александрович
Список литературы диссертационного исследования кандидат наук Бычкова Ирина Юрьевна, 2022 год
СПИСОК ЛИТЕРАТУРЫ
1. Айфичер, Э. Цифровая обработка сигналов: практический подход. / Э. Айфичер, Б. Джервис. 2-е изд. - М.: Издательский дом «Вильямс», 2004. -992 с.
2. Ахманов, С.А. Введение в статистическую радиофизику и оптику / С.А. Ахманов, Ю.Е. Дъяков, А.С. Чиркин. - М.: Наука, 1981. - 640 с.
3. Батраков, Д.О. Алгоритм определения задержки импульсных сигналов, основанный на преобразовании Гильберта / Д.А. Батраков, Д.В. Головин [и др.] // Вестник Харьковского нац. ун-та им. В.Н. Каразина. Выпуск 14. - 2009. - №853. - С. 68-74.
4. Бердышев, В.П. Радиолокационные системы: учебник / В.П. Бердышев, Е.Н. Гарин [и др.]. - Красноярск: Сиб. федер. ун-т, 2011. -400 с.
5. Блохинцев, Д.И. Акустика неоднородной движущейся среды / Д.И. Блохинцев. - М.: Наука, 1981. - 208 с.
6. Бобцов, А.А. Исполнительные устройства и системы для микроперемещений /А.А. Бобцов, В.И. Бойков [и др.] // СПБ ГУ ИТМО, 2011. -131 с.
7. Браун, Д. Распределенные системы контроля температуры на базе современ-ных волоконно-оптических датчиков / Д. Браун, Д. Рогачев // Геофизика. - 2005. - № 1. - С.5-11.
8. Бреховских, Л.М. Акустика слоистых сред / Л.М. Бреховских, О.А. Годин. - М.: Наука, 1989. - 416 с.
9. Брэдшоу, П. Введение в турбулентность и ее измерение / П. Брэдшоу - М.: Мир, 1974. - 278 с.
10. Бычкова, И.Ю. Свидетельство о государственной регистрации программы для ЭВМ № 2017614171. Программа моделирования и корреляционной обработки сигналов при импульсном ультразвуковом контроле в неоднородной среде / И.Ю. Бычкова, А.В. Бычков, Л.А. Славутский - М.: Роспатент, 2017.
11. Бычкова, И.Ю. Изменчивость импульсных ультразвуковых сигналов над нагретой поверхностью / П.А. Левин, И.Ю. Бычкова, Л.А. Славутский // Вестник Чувашского университета. - 2013. - №3 - С. 310-313.
12. Бычкова, И.Ю. Флуктуации ультразвука в конвективном потоке над нагретой поверхностью / И.Ю. Бычкова, О.Н. Ядарова, Л.А. Славутский // Вестник Чувашского университета. - 2015. - №1 - С. 29-34.
13. Бычкова, И.Ю. Импульсный ультразвуковой контроль стратификации воздуха над нагретой поверхностью / И.Ю. Бычкова, А.В. Бычков, Л.А. Славутский // Вестник Чувашского университета. - 2016. -№1 - С. 39-46.
14. Бычкова, И.Ю. Алгоритм корреляционной обработки сигналов при двухлучевом распространении ультразвука / И.Ю. Бычкова, А.В. Бычков, Л.А. Славутский // Вестник Чувашского университета. - 2017. - №1 - С. 218224.
15. Бычкова, И.Ю. Моделирование задержки импульсных ультразвуковых сигналов в конвективном потоке над нагретой поверхностью / И.Ю. Бычкова // Вестник Чувашского университета. - 2017. - №3 - С. 173-179.
16. Бычкова И.Ю. Цифровая фазовая модуляция и корреляционная обработка ультразвуковых сигналов для импульсных измерений в неоднородной среде / И.Ю. Бычкова, А.В. Бычков, Л.А. Славутский // Приборы и техника эксперимента. - 2018. - №3 - С. 114-119. [DOI: 10.7868/S0032816218030072]
17. Бычкова, И.Ю. Корреляционная обработка сигналов для уменьшения погрешности импульсных ультразвуковых измерений / И.Ю. Бычкова, А.В. Бычков, Л.Н. Васильева, Б.М. Гильденберг, В.И. Горбунов // Вестник Чувашского университета. - 2018. - №3 - С. 163-171.
18. Бычкова, И.Ю. Экспериментальная оценка применимости лучевого приближения при рассеянии ультразвуковых импульсов в турбулентном потоке воздуха / И.Ю. Бычкова, Л.А. Славутский // Нелинейный мир. - 2018. - №4. Т. 16 - С. 11-16. [DOI: 10.18127/j20700970-201804-02]
19. Бычкова, И.Ю. Локализация ультразвука при скользящем распространении в турбулентном потоке воздуха / И.Ю. Бычкова, А.В. Бычков, Л.А. Славутский // Южно-Сибирский научный вестник. - 2019. - №2 - С. 18-22. ДО! 10.25699/SSSB.2019.2(26).32515]
20. Бычкова, И.Ю. Цифровое формирование и корреляционная обработка сигналов при импульсных ультразвуковых измерениях / И.Ю. Бычкова, Л.А. Славутский // Тезисы на ХХ межд. конф. «Математика. Компьютер. Образование» (Пущино, Московская область, 28 января - 2 февраля 2013 г.). - 2013.
21. Бычкова, И.Ю. Импульсные ультразвуковые измерения с цифровой фазовой модуляцией сигналов / И.Ю. Бычкова, Л.А. Славутский // Динамика нелинейных дискретных электротехнических и электронных систем: материалы Х Всерос. науч.-техн. конф. (Чебоксары, 6-8 июня 2013 г). - Чебоксары, 2013. -С. 87-91.
22. Бычкова, И.Ю. Обработка сигналов при ультразвуковом контроле конвективного потока воздуха / И.Ю. Бычкова, О.Н. Ядарова, Л.А. Славутский // Динамика нелинейных дискретных электротехнических и электронных систем: материалы XI Всерос. науч.-техн. конф. (Чебоксары, 4-6 июня 2015 г). -Чебоксары, 2015. - С. 142-144.
23. Бычкова, И.Ю. Дистанционный контроль конвекции воздуха у нагретой поверхности / И.Ю. Бычкова, А.В. Бычков // Информационные технологии в электротехнике и электроэнергетике: материалы X Всерос. науч.-техн. конф. (Чебоксары, 2-4 июня 2016 г). - Чебоксары, 2016. - С. 127-130.
24. Бычкова, И.Ю. Цифровая фазовая модуляция и корреляционная обработка импульсных ультразвуковых сигналов / И.Ю. Бычкова // Информационные технологии в электротехнике и электроэнергетике: материалы X Всерос. науч.-техн. конф. (Чебоксары, 2-4 июня 2016 г). -Чебоксары, 2016. - С. 131-134.
25. Бычкова, И.Ю. Программный комплекс для моделирования импульсных ультразвуковых измерений в неоднородной среде / И.Ю. Бычкова,
А.В. Бычков // Динамика нелинейных дискретных электротехнических и электронных систем: материалы XII Всерос. науч.-техн. конф. (Чебоксары, 1-3 июня 2017 г). - Чебоксары, 2017. - С. 42-44.
26. Бычкова, И.Ю. Увеличение временного разрешения импульсного ультразвукового контроля с помощью корреляционной обработки сигналов / И.Ю. Бычкова, А.В. Бычков // Динамика нелинейных дискретных электротехнических и электронных систем: материалы XII Всерос. науч.-техн. конф. (Чебоксары, 1-3 июня 2017 г). - Чебоксары, 2017. - С. 44-48.
27. Бычкова, И.Ю. Цифровое формирование и обработка импульсных ультразвуковых сигналов при контроле неоднородной газовой среды / И.Ю. Бычкова // Межд. молодежная науч. конф. «XXIII Туполевские чтения (школа молодых ученых)»: материалы конференции, сборник докладов (Казань, 8-10 ноября 2017 г.). - Казань, 2017. Т. 3. - С. 647-652.
28. Бычкова, И.Ю. Повышение информативности измерений при цифровой обработке импульсных ультразвуковых сигналов / И.Ю. Бычкова, А.В. Бычков // Информационные технологии в электротехнике и электроэнергетике: материалы XI Всерос. науч.-техн. конф. (Чебоксары, 7-9 июня 2018 г). - Чебоксары, 2018. - С. 12-15.
29. Бычкова, И.Ю. Бесконтактный ультразвуковой контроль: цифровое формирование и обработка сигналов / И.Ю. Бычкова, А.В. Бычков, Л.А. Славутский // Межд. конф. «Нигматуллинские чтения-2018» (Казань, 9-12 октября 2018 г.). - Казань, 2018. Т. 1. - С. 191-194.
30. Бычкова, И.Ю. Возможности комплексного анализа ультразвуковых сигналов в системе контроля и управления вентилятором / И.Ю. Бычкова, А.В. Бычков // Динамика нелинейных дискретных электротехнических и электронных систем: материалы XIII Всерос. науч.-техн. конф. (Чебоксары, 7 июня 2019 г). - Чебоксары, 2019. - С. 54-57.
31. Бычкова, И.Ю. Корреляционный анализ ультразвуковых сигналов при двухлучевом контроле: временное разрешение / И.Ю. Бычкова, А.И. Самсонов // Информационные технологии в электротехнике и
электроэнергетике: материалы XII Всерос. науч.-техн. конф. (Чебоксары, 5 июня 2020 г). - Чебоксары, 2020. - С. 46-48.
32. Варакин, Л.Е. Теория сложных сигналов / Л.Е. Варакин. - М.: Сов. радио, 1970. - 376 с.
33. Вилънина, А.В. Современные методы и средства измерения уровня в химической промышленности / А.В. Вильнина, А.Д. Вильнин [и др.] - Томск: Изд-во Томского политехнического университета, 2011. - 84 с.
34. Виноградова, М.Б. Теория волн / М.Б. Виноградова, О.В. Руденко, А.П. Сухоруков. - М.: Наука, 1979. - 384 с.
35. ГОСТ 6376-74. Анемометры ручные со счетным механизмом. Технические условия. - М.: Издательство стандартов, 1987. - 11 с.
36. Госсорг, Ж. Инфракрасная термография. Основы, техника. Применение / Ж. Госсорг. - М.: Мир, 1988. - 416 с.
37. Дичев, Н.В. Метод определения временного положения медленно нарастающего эхо-импульса / Н.В. Дичев, А.И. Солдатов [и др.] // Известия Томского политехнического университета. - 2010. - Т. 317. - №4 - С. 146-149.
38. Евдокимов, Ю.К. Одномерный распределенный датчик на полупроводниковых структурах для измерения пространственных характеристик физических полей: устройство и исследования / Ю.К. Евдокимов, В.В. Краев // В сб. Датчики и преобразователи информации систем измерения, контроля и управления (Датчик - 94): Всерос. научно-техн. конф. с участием заруб. спец. Москва - Гурзуф, 1994. - Ч1. - С. 94-95.
39. Евдокимов, Ю.К. Распределенный электрохимический датчик: устройство и экспериментальные исследования / Ю.К. Евдокимов, Л.Д. Храмов // В сб. Датчики и преобразователи информации систем измерения, контроля и управления (Датчик - 94): Всерос. научно-техн. конф. с участием заруб. спец. Москва - Гурзуф, 1994. - Ч1. - С. 96-97.
40. Евдокимов, Ю.К. Электрохимический корреляционный метод измерения поверхностного трения в развитых турбулентных потоках: дис. канд. техн. наук. - Казань, 1979. - 209 с.
41. Жданкин, В. Ультразвуковые датчики для систем управления /
B. Жданкин // Современные технологии автоматизации. - 2003. - №4. -
C. 48-62.
42. Жмудь, В.А. Ультразвуковой датчик измерения расстояния HC-SR04 / В.А. Жмудь, Н.О. Кондратьев [и др.] // Автоматика и программная инженерия. - 2017. - № 4. - С. 18-26.
43. Зайцев, С.А. Контрольно-измерительные приборы и инструменты: учебник для студ. Учреждений сред. Проф. Образования / С.А. Зайцев, Д.Д. Грибанов [и др.]. - М.: Изд. центр «Академия», 2016. - 464 с.
44. Знаменская, И.А. Методы визуализации в механике газа. Учебное пособие / И.А. Знаменская, Л.Г. Гвоздева, Н.В. Знаменский. - Московский государственный авиационный институт (Технический университет), 2001. -57 с.
45. Зотов, В.Ю. Новый инструментальный комплект Spartan-3E Starter Kit для практического освоения методов проектирования встраиваемый микропроцессорных систем на основе ПЛИС семейства FPGA фирмы Xilinx / В.Ю. Зотов // Компоненты и технологии. - 2006. - №10. - С. 1-8.
46. Иванова, Г.М. Теплотехнические измерения и приборы: учебник для вузов: 3-е изд., перераб. и доп. / Г.М. Иванова, Н.Д. Кузнецов, В.С. Чистяков // М.: Издательство МЭИ, 2007. - с.460.
47. Исаев, В.А. Оптоволоконные технологии для интеллектуальных скважин и геофизических исследований нефтяных, газовых и нагнетательных скважин / В.А. Исаев // НГН. - 2011. - №11. - С.24-28.
48. Каллистратова, М.А. Радиоакустическое зондирование атмосферы / М.А. Каллистратова, А.И. Кон // М.: Наука, 1985. - с.198.
49. Кляцкин, В.И. Метод погружения в теории распространения волн / В.И. Кляцкин. - М.: Наука,1986. - 256 с.
50. Костюков, А.С. Изменчивость случайной погрешности ультразвуковых импульсных и доплеровских измерений в неоднородной среде /
A.С. Костюков, М.В. Никандров, Л.А. Славутский // Нелинейный мир. - 2009. -Т. 7. - №9. - С. 700-705.
51. Кошель, К.В. Распространение УК и СВЧ радиоволн над морем / К.В. Кошель, Л.А. Славутский, Б.М. Шевцов. - Владивосток: Дальнаука, 1993. - 160 с.
52. Красильников, В.А. Введение в физическую акустику /
B.А. Красильников. - М.: Наука, 1984. - 400 с.
53. Красненко, Н.П. Акустическое зондирование атмосферы / Н.П. Красненко - Томск, Институт оптического мониторинга СО РАН, 2001. -279 с.
54. Кремлевский, П.П. Расходомеры и счетчики количества веществ: Справочник: Кн. 1. 5-е изд., перераб. и доп. / П.П Кремлевский. - СПб.: Политехника, 2002. - 409 с.
55. Кремлевский, П.П. Расходомеры и счетчики количества веществ: Справочник: Кн. 2: под общ. ред. Е.А. Шорникова. 5-е изд., перераб. и доп. / П.П Кремлевский. - СПб.: Политехника, 2004. - 412 с.
56. Криницкий, Г.В. Методы снижения влияния многолучевости на качество спутниковой навигации для обеспечения точного захода на посадку / Г.В. Криницкий, М.Д. Леонова, Е.Н. Юрасова // Научный вестник МГТУ ГА, 2015. - № 222. - С. 98-102.
57. Леньков, С.В. Разработка акустических методов и средств измерений, контроля и диагностики технических и биомедицинских объектов. Отчет о НИР / В.В. Муравьев, С.В. Леньков [и др.] // рук. В.В. Муравьев № 3. 751.2014/К. Ижевск: Ижевский Государственный Технический Университет им. М.Т. Калашникова. - 2016. 124 с.
58. Мансфельд, А.Д. Особенности измерения скорости потока газа в трубах ультразвуковым корреляционным методом / А.Д. Мансфельд, Г.П. Волков [и др.] // Акустический журнал. - 2021. - Т. 67, № 2. - С. 203-209.
59. Морозов, О.Г. Синтез двухчастотного излучения и его применения в волоконно-оптических системах распределенных и мультиплексированных
измерений / О.Г. Морозов, Д.Л. Айбатов, Т.С. Садеев // Физика волновых процессов и радиотехнические системы. - 2010. - Т. 13, № 3. - С. 84-91.
60. Муравьева, О.В. Экспериментальная оценка интенсивности ультразвукового излучения медицинского оборудования на основе анализа размеров равновесных газовых пузырьков в жидкости / О.В. Муравьева, О.П. Богдан, Д.В. Злобин, В.Н. Милич, С.И. Масленников, Ю.С. Дудина // Приборы и техника эксперимента. - 2017. - № 1. - С. 136-141.
61. Неразрушающий контроль: справочник. В 7 т. / Под общ. ред. В.В. Клюева. Т. 3: И.Н. Ермолов, Ю.В. Ланге. Ультразвуковой контроль. -М.: Машиностроение, 2004. - 864 с.
62. Неразрушающий контроль: справочник. В 7 т. / Под общ. ред. В.В. Клюева. Т. 5: В 2 кн. Кн. 1: Тепловой контроль / В.П. Вавилов. Кн. 2: Электрический контроль / К.В. Подмастерьев, Ф.Р. Соснин [и др.]. -М.: Машиностроение, 2004. - 679 с.
63. Никонов, Н.В. Термопары. Типы, характеристики, конструкции, производство / Н.В. Никонов. - М.: ООО «МТК «Метотехника», 2015. - 62 с.
64. Паврос, С.К. Сергей Яковлевич Соколов - основоположник ультразвуковой дефектоскопии и звуковидения / С.К. Паврос // Известия ГЭТУ. - 1997. - Вып. 505. - С. 5-11.
65. Патент 2123705 Российская федерация, МПК: 6G 01Р 5/10 А. Способ измерения пространственного распределения скорости потока жидкости или газа (варианты) / Ю.К. Евдокимов, В.В. Краев, Л.Д. Храмов. Опубл. 1998.
66. Патент 2174687 Российская Федерация: МПК 001Р 5/08. Устройство для измерения турбулентных пульсаций скорости / А.В. Гусев, Г.А. Федотов. Опубл. 10.10.2001, Бюл. № 28.
67. Погосян, Х.П. Воздушная оболочка Земли / Х.П. Погосян. -Ленинград: Гидрометеорологическое издательство, 1962. - 294 с.
68. Протасов, М.В. Экспериментальное исследование воздушных вихревых структур, образующихся над нагретой подстилающей металлической
поверхностью / М.В. Протасов, Т.Ф. Иванов [и др.] // Оптические методы исследования потоков: сб. докл. XI Междунар. науч.-техн. конф. М.: Объединенный институт высоких температур РАН, 2011.
69. Ринкевичус, Б.С. Лазерная анемометрия / Б.С. Ринкевичус. М.:
Энергия, 1978. - 159 с.
70. Русинов, В.Н. Murata: пьезоэлектрические, магниторезистивные и пироэлектрические датчики. БЭК. / В.Н. Русинов - М.: ДМК Пресс, 2016. -80 с.
71. Савенков, А.В. Некоторые аспекты проектирования ультразвуковых уровнемеров / А.В. Савенков, П.П. Першенков // Измерение. Мониторинг. Управление. Контроль. - 2016. - №2. - С. 54-60.
74. Сахабутдинов, А.Ж. Адресные волоконные брэгговские решетки: квазирас-пределительные датчики с высоким пространственным разрешением / А.Ж. Сахабутдинов, О.Г. Морозов, И.И. Нуреев [и др.] // ПТиТТ-2018, г. Уральск. - 2018. - С. 153-155.
75. Сивухин, Д.В. Общий курс физики. 3-е изд., исправл. и дополн. / Д.В. Сивухин - М.: Наука, 1989. - Т.1. Механика. - 576 с.
76. Славутский, Л.А. Восстановление профиля открытого волновода по спектру нормальных волн / Л.А. Славутский, К.В. Латышев // Известия высших учебных заведений. Радиофизика. - 1991. - Т. 34. - №4. - С. 476.
77. Славутский, Л.А. Контроль профиля потока жидкости по модовой структуре ультразвукового сигнала / Л.А. Славутский, М.В. Никандров, Д.Б. Турханов // Электронный журнал «Техническая акустика». - 2003. -№3. -С. 152-160.
78. Славутский, Л.А. Основы регистрации данных и планирования эксперимента / Л.А. Славутский // Чебоксары: Изд-во ЧГУ, 2006. - 200 с.
79. Соколов, С.Я. Избранные труды. - СПб.: СПбГЭТУ, 1997. - 263 с.
80. Сорокин, М.Ю. Комплексирование результатов измерения высотно-скоростных параметров в системе / А.И. Пономарев, М.Ю. Сорокин // Автоматизация процессов управления. - 2021. - №2 (64). - С. 18-22.
81. Стрелков, С.П. Введение в теорию колебаний / С.П. Стрелков. -СПб.: Издательство «Лань», 2005. - 440 с.
82. Темъянов, Б.К. Обратная операторная задача для частотно-импедансной модели неоднородной акустической среды: численная и экспериментальная реализации / Б.К. Темьянов, Ю.К. Евдокимов // Нелинейный мир. - 2015. - №8. Т. 13 - С. 19-25.
83. Терентъева, О.Ю. Повышение точности акустических измерений методом при детектировании сигнала одним компаратором / О.Ю. Терентьева, Ю.В. Шульгина // Неразрушающий контроль: электронное приборостроение, технологии, безопасность: Матер. VI Всерос. науч.-практ. конф. Томск: Нац. исслед. Томский политехн. ун-т, 2016. - Т. 2. - С. 123-125.
84. Трохан, А.М. Фотоэлектрический метод измерения турбулентности высокотемпературных потоков / А.М. Трохан, Кузнецов И.Л. [и др.] // Физика горения и взрыва. - 1966. - №1. - С. 112 - 116.
85. Улахович, Д.А. Основы цифровой обработки сигналов. / А.И. Солонина, Д.А. Улахович, С.М. Арбузов, Е.Б. Соловьева, И.И. Гук. 2-е изд. -СПб.: БХВ - Петербург, 2003. - 608 с.
86. Цветков, Ф.Ф. Тепломассообмен: учебник для вузов / Ф.Ф. Цветков, Б.А. Григорьев. - М.: Издательский дом МЭИ, 2011. - 562 с.
87. Шепелев, И.А. Аэродинамика воздушных потоков в помещении / И.А. Шепелев. - М.: Стройиздат. Гл. ред. физ.-матем. лит-ры, 1978. - 144 с.
88. Шкундин, С.З. О погрешности время-импульсного метода измерения измерении расхода воздуха в шахтах / С.З. Шкундин, А.Г. Петров // Акустический журнал . - 2017. - Т. 63, № 5. - С. 560-565.
89. Шульгина, Ю.В. Повышение точности определения временного положения эхо-импульса / Ю.В. Шульгина, Солдатов А.И. // Современные
техника и технологии: Матер. XVI Межд. науч.-практ. конф. студ., асп. и мол. уч. Томск: Нац. исслед. Томский политехн. ун-т, 2010. - Т. 1. - С. 288-289.
90. Элътерман, В.М. Вентиляция химических производств / В.М. Эльтерман. - М.: Химия, 1980. - 288 с.
91. AGA Report No. 9. Measurement of Gas by Multipath Ultrasonic Meters. Washington DC, America Gas Association, 2007.
92. Aldawi, F.J. A high accuracy ultrasound distance measurement system using binary frequency shift-keyed signal and phase detection // F.J. Aldawi, A.P. Longstaff et. al. // School of Computing and Engineering Researchers' Conference. University of Huddersfield, England, 2007.
93. Angrisani, L. Ultrasonic-Based Distance Measurement Through Discrete Extended Kalman Filter / L. Angrisani, A. Baccigalupi et. al. - Kalman Filter: Recent Advances and Applications, 2009. - P. 269-296.
94. Antoniou, I. Inter-comparison of commercially avaliable SODARs for wind energy application / I. Antoniou, H.E. Jurgensen et. al. // In: Proc. of 12-th Intern. Symp. on Acoustic Remote Sensing. Cambridge, UK. - 2004. - P. 136-139.
95. Application Note MA40S4S/MA40S4R [Электронный ресурс]. Doc.No.DM-U16-483 Rev.1 201610, http://www.murata.com/~/media/webrenewal/products/sensor/ultrasonic/open/applin ote_maopn.ashx?la=ja-jp.
96. Barker, R.H. Group synchronizing of binary digital sequences / R.H. Barker // Communication theory. London: Butterworth. - 1953. - P. 273-287.
97. Barshan, B. Performance comparison of four time-of-flight estimation methods for sonar signals / B. Barshan, B. Ayrulu // Electronics letters. - 1998. -V. 34. - No. 16. - P. 1616-1617.
98. Barshan, B. Fast processing techniques for accurate ultrasonic range measurements / B. Barshan // Measurement Science and Technology. - 2000. -No. 11. - P. 45-50.
99. Beck, M.S. Total Volume and Component Flow Measurement in Industrial Slurries and Suspensions using Correlation Techniques / M.S. Beck, G. Calvert et. al.
// Transactions of the Institute of Measurement and Control. - 1971. - V. 4. - No. 8 -P. 133-138.
100. Bogdan, O.P. Visualization and image processing techniques in the evaluation of ultrasonic equipment radiation intensity / O.P. Bogdan,
0.V. Muravieva, Y.S. Dudina, S.I. Maslennikov, V.I. Milich // Приборостроение, электроника и телекоммуникации - 2015: сборник статей I Межд. форума IEET-2015 в рамках XI межд науч.-техн. конф. (Ижевск, 25-27 ноября 2015 г.). -Ижевск, 2016. - С. 41-47.
101. Bui, G.T. Two Capacitive Micro-Machined Ultrasonic Transducers for Wind Speed Measurement / G.T. Bui, Y.T. Jiang et. al. // Sensors. - 2016. - V. 16. -No. 6 - P. 1-9.
102. Bychkova, I.Y. Digital Phase Modulation and Correlation Processing of Ultrasound Signals for Pulsed Measurements in an Inhomogeneous Medium /
1.Y. Bychkova, A.V. Bychkov, L.A. Slavutskii // Instruments and experimental techniques. - 2018. - No. 3. - V. 61 - P. 421-426. [DOI: 10.1134/S0020441218030077]
103. Bychkova, I.Y. Multiray acoustic control of medium stratification using digital modulation of the signals / I.Y. Bychkova, A.V. Bychkov, L.A. Slavutskii // 19th session of International Conference «Fluxes and structures in fluids» (Vladivostok, August 8-10, 2018). - Vladivostok, 2018. P. 40-42.
104. Bychkova, I.Y. Ultrasonic Multipath Monitoring of Turbulent Gas Flows: Pulse Signals Correlation Processing / I.Y. Bychkova, A.V. Bychkov, L.A. Slavutskii, V.G. Grigoriev, A.I. Kitaev // IOP Conference Series: Materials Science and Engineering. - 2020. - No. 1. - V. 976 - P. 1-6. [DOI: 10.1088/1757-899X/976/1/012005]
105. Carotenuto, R. A range estimation system using coded ultrasound / R. Carotenuto // Sensors and Actuators, A: Physical. - 2015 - P. 1-13.
106. Conrad, K. Fundamentals of ultrasonic flow meters / K. Conrad, L. Lynnworth / American school of gas measurement technology. - 2002. - P. 52-61.
107. Coulthard, J. The principle of ultrasonic cross-correlation flowmetering / J. Coulthard // Measurement and Control. - 1975. - V. 8. - P. 65-70.
108. Coulthard, J. Ultrasonic cross-correlation flowmeters / J. Coulthard, Y. Yan // Measurement and Control. - 1993. - V. 26. - P. 164-167.
109. Cruette, D. Fast Temperature and True Airspeed Measurements with the Airborne Ultrasonic Anemometer-Thermometer (AUSAT) / D. Cruette, A. Marillier et. al. // Journal of atmospheric and oceanic technology. - 1998. - V. 17. -P. 1020-1039.
110. DiFranco, J.V. Radar detection / J.V. DiFranco, W.L. Rubin. -Englewood Cliffs, N.J., Prentice-Hall, 1968. - 654 p.
111. Elmer, H. Effect of frequency dependent radiation of ultrasonic transducers to correlation based distance measurement systems / H. Elmer, H. Schweinzer // Intelligent Components and Instruments for Control Applications. -2003. - P. 273-273.
112. Firestone, Floyd A. The supersonic reflectoscope, an instrument for inspecting the interior of solid parts by means of sound waves / Floyd A. Firestone // The journal of the acoustical society of America. - 1946. - P. 287-299.
113. Gandole, Y.B. Computer Modeling and Simulation of Ultrasonic System for Material Characterization / Y.B. Gandole // Modeling and Numerical Simulation of Material Science. - 2011. - No. 1 - P. 1-13.
114. Granja, F.S. Ultrasound modulation and codification for localization systems / F.S. Granja, A.R. Jimenez // New Acoustics: selected topics II. - 2006. -P. 167-186.
115. Hazas, M. Broadband ultrasonic location systems for improved indoor positioning / M. Hazas, A. Hopper // IEEE Transactions on mobile computing. -2006. - V. 5. - No. 5 - P. 536-547.
116. Hirata, S. Accuracy and resolution of ultrasonic distance measurement with high-time-resolution cross-correlation function obtained by single-bit signal processing / S. Hirata, M.K. Kurosawa et. al. // Acoustical Science and Technology. -2009. - V. 30. - No. 6 - P. 429-438.
117. Hirata, S. Real-time ultrasonic distance measurements for autonomous mobile robots using cross correlation by single-bit signal processing / S. Hirata, M.K. Kurosawa et. al. // IEEE International Conference on Robotics and Automation. Kobe, Japan, 2009. - P. 3601-3606.
118. HS-50 & HS-100. User Manual. Doc. No 1199-PS-0035 Issue 1, 2017.
119. Huang, S.S. A high accuracy ultrasonic distance measurement system using binary frequency shift-keyed signal and phase detection / S.S. Huang, C.F. Huang et. al. // Review of scientific instruments. - 2002. - V. 73. - No. 10. -P. 3671-3677.
120. Huang, Y.P. Envelope pulsed ultrasonic distance measurement system based upon amplitude modulation and phase modulation / Y.P. Huang, J.S. Wang // Review of scientific instruments. - 2007. - V. 78. - P. 1-8.
121. Ishimaru, A. Wave Propagation and Scattering in Random Media / A. Ishimaru. - New-York: Academic Press, 1978. - 272 p.
122. Karki, J. Application Report. Signal Conditioning Piezoelectric Sensors / J. Karki. - Texas Instruments, SLOA033A, 2000.
123. Kellner, J. Piezoceramic Ultrasound Transducer Enabling Broadband Transmission for 3D Scene Analysis in Air / J. Kellner, H. Schweinzer // SENSOR+TEST Conference. - 2009. - P. 53-58.
124. Kim, P. Barker-sequence-modulated golay coded excitation technique for ultrasound imaging / P. Kim, E. Jung, et. al. // 2016 IEEE International Ultrasonics Symposium (IUS). - 2016. - P. 1-4.
125. Klyatskin, V.I. Stochastic Equations: Theory and Applications in Acoustics, Hydrodynamics, Magnetohydrodynamics, and Radiophysics. Volume 2. Coherent phenomena in stochastic dynamic systems / V.I. Klyatskin. - Switzerland: Springer International Publishing, 2015. - V. 2 - 508 p.
126. Kupnik, M. Adaptive Pulse Repetition Frequency Technique for an Ultrasonic Transit-Time Gas Flowmeter for Hot Pulsating Gases / M. Kupnik, A. Schroder et. al. // IEEE Sensors journal. - 2006. - V. 6 - No. 4 - P. 906-915.
127. Liang, H. Research and Implementation of a 1800°C Sapphire Ultrasonic Thermometer / H. Liang, F. Yang et. al. // Journal of Sensors. - 2017. - P. 1-7.
128. Lin, Y. Improvement of ultrasonic cross-correlation measurement of gas flow by bluff body generated vortices / Y. Lin, V. Hans // XVIII Imeko world congress. Metrology for a Sustainable Development Rio de Janeiro, Brazil, 2006.
129. Ma, S. A Phase Modulation-based Ultrasonic Communication System using Variable Structure Control / S. Ma, A.J. Wilkinson, K.S. Paulson // Communication Technology (ICCT). - 2010.
130. Ma, S. Performance Analysis of a Phase Modulation-based Ultrasonic Receiver using Variable Structure Control / S. Ma, A.J. Wilkinson, K.S. Paulson // 2011 IEEE 13th International Conference on Communication Technology. China. -2011. - P. 776-779.
131. Marioli, D. Digital Time-of-Flight Measurement for Ultrasonic Sensors / D. Marioli, C. Narduzzi // IEEE Transactions on Instrumentation and Measurement. -1992. - V. 41. - No. 1- P. 93-97.
132. Matsuyuki, S. A Study on Aperiodic Auto-Correlation Properties of Concatenated Codes by Barker Sequences and NFSR Sequences / S. Matsuyuki, A. Tsuneda // 2018 International Conference on Information and Communication Technology Convergence (ICTC). - 2018. - P. 664-666.
133. Mazeika, L. Analysis of the zero-crossing technique in relation to measurements of phase velocities of the Lamb waves / L. Mazeika, L. Draudvilienè // Ultragarsas (Ultrasound). - 2010. - V. 65. - No. 2. - P. 7-12.
134. Nagy, C. Ultrasound-Based Indoor Robot Localization Using Ambient Temperature Compensation / C. Nagy, Z. Biro-Ambrus, L. Marton // Electrical and Mechanical Engineering. - 2016. - No. 8. - P. 19-28.
135. Patent US 4787252, USA, Differential correlation analyzer / Jacobson et al.// Applicant: Panametrics; Filed: 30.09.1987.
136. Patent WO 2005/093380 A1, USA, Method and system for calculating the transit time of an ultrasonic pulse / Xiaolei et al.// Applicant: Panametrics; Filed: 11.02.2005.
137. Raine, A.B. Development of an Ultrasonic Airflow Measurement Device for Ducted Air / A.B. Raine, N. Aslam et. al. // Sensors. - 2015. - No. 15. -P. 10705-10722.
138. Raju, M. Application Report. Ultrasonic Distance Measurement With the MSP430 / M. Raju. - Texas Instruments, SLAA136A, 2001.
139. Sato, Y. Signal processing for advanced correlation ultrasonic velocity profiler / Y. Sato, M. Mori et. al. // The third International Symposium on Ultrasonic Doppler Methods for Fluid Mechanics and Fluid Engineering EPFL. Switzerland, 2002. - P. 5-11.
140. Schiefer, W. Klinische Echo-Encephalographie / W. Schiefer, E. Kazner.
- Springer Verlag, Berlin, 1967.
141. Shulgina, Y.V. Distance determination based on dual frequency method with phase correction / Y.V. Shulgina // 2017 International Siberian Conference on Control and Communications (SIBCON), 2017.
142. Soldatov, A.I. Measurement error reducing in the ultrasound time-pulse systems / A.I. Soldatov et. al. // IOP Conf. Series: Materials Science and Engineering. Tomsk: Institute of Physics Publishing, 2015. - V. 81. - P. 1-10.
143. Spartan-3E Starter Kit Board User Guide. - UG230 (v1.0), 2006.
144. Teufel, M. Cross correlation - the better Ultra Sonic Doppler - technique / M. Teufel, M. Suchánek // 6th International Symposium on Ultrasonic Doppler Method for Fluid Mechanics and Fluid Engineering (ISUD-6). Czech Republic, 2008.
- P. 167-170.
145. Thompson, E. Fundamentals of multipath ultrasonic flow meters for gas measurement / E. Thompson // American School of Gas Measurement Technology. -2011. - P. 1-23.
146. Tolan, M. The Use of Fiber-Optic Distributed Temperature Sensing and Remote Hydraulically Operated Interval Control Valves for the Management of Water Pro-duction in the Douglas Field / M. Tolan, M. Boyle, G. Williams // Proc. of SPIE, 2001. - P. 71676.
147. Velmurugan, R. Ultrasonic Flowmeter using Cross-Correlation Technique / R. Velmurugan, P. Rajalakshmy // International J. Computer Applications. - 2013. - V. 66. - No. 10. - P. 19-22.
148. Voldan, MSimple single transducer ultrasonic thermometer using electrostatic actuator / M. Voldan, L. Husnik // Applied Acoustics. - 2019. -No. 148 - p. 448-456.
149. Wang, S. Research on Low Intercepting Radar Waveform Based on LFM and Barker Code Composite Modulation / S. Wang, P. He // 2018 International Conference on Sensor Networks and Signal Processing (SNSP). - 2019. -P. 297-301.
150. Xu, B. Advanced Methods for Time-Of-Flight Estimation with Application to Lamb Wave Structural Health Monitoring / B. Xu, L. Yu, V. Giurgiutiu // The 7th International Workshop on Structural Health Monitoring. Stanford University, Palo Alto, CA. - 2009. - P. 2-9.
ПРИЛОЖЕНИЕ 1. Акт об использовании результатов диссертации в
ООО «Интеллектуальные сети»
ООО «Интеллектуальные Сети» 428006, Россия, Чувашская республика, г. Чебоксары, ул. Пристанционная. 1/9. оф. 26
Тел.:+7 (831) 280-97-89 E-mail: info@igrids.ru
об использовании результатов диссертационного исследования Бычковой Ирины Юрьевны на тему «Ультразвуковой бесконтактный корреляционный метод контроля пространственно-неоднородной структуры открытых газовых потоков», представленного на соискание ученой степени кандидата технических наук
Составлен настоящий в том. что результаты диссертационной работы Бычковой Ирины Юрьевны «Ультразвуковой бесконтактный корреляционный метод контроля пространственно-неоднородной структуры открытых газовых потоков» на соискание ученой степени кандидата технических наук использованы в ООО «Интеллектуальные Сети» при разработке комплексных программ мониторинга и контроля технологических процессов на объектах энергетики.
Предлагаемые в работе программно-аппаратные средства позволяют повысить функциональные возможности соответствующей аппаратуры. Приборы ультразвукового контроля имеют малые габариты и невысокую стоимость. Могут использоваться при испытаниях и пуско-наладочпых работах на вентиляторных установках для контроля параметров генерируемых воздушных потоков. Возможно применение параллельно с традиционными контактными методами измерений. В части дистанционного ультразвукового контроля конвективных воздушных потоков у источников тепла и расходомстрии возможно применение для контроля систем охлаждения и вентиляции.
УТВЕРЖДАЮ
Директор, к.т.н.
АКТ
Технический директор
М.В. Сергеев
Зам. директора по управлению проектами
А.А. Ларюхин
ПРИЛОЖЕНИЕ 2. Справка о внедрении результатов диссертационного исследования в учебный процесс ФГБОУ ВО «Чувашский государственный университет имени И.Н. Ульянова»
МИНОБРНАУТСИ РОССИИ
федеральное государственное бюджетное образовательное учреждение высшего образования «Чувашский государственный университет имени И.Н. Ульянова» (ФГБОУ ВО «ЧТУ им. ИЛ. Ульянова»)
РАССЕЙ федерацинен АслАлАхпа аслА пёл?
МИНИСТЕРСТВИ
федерации аслй пёлу паракан
«И.Н. Ульянов ячёллб Чйваш патшалЗх университечб) патшалЗх вёрен^ бюджет учрежденийб
Московский пр., 15, г. Чебоксары, 428015 тел.: (8352) 583-036; факс: (8352)450-279
Е-тш1 office@cbuvsu.ru ОГРН 1022101274315 ИНН 2129009412
428015 Шупашкар хули, Мускал пр., 15
2021г. №
6^¿Г^ СПРАВКА
о внедрении результатов диссертационного исследования Бычковой Ирины Юрьевны
на тему «Ультразвуковой бесконтактный корреляционный метод контроля пространственно-неоднородной структуры открытых газовых потоков»
Результаты диссертационной работы Бычковой Ирины Юрьевны на тему «Ультразвуковой бесконтактный корреляционный метод контроля пространственно-неоднородной структуры открытых газовых потоков», представленной на соискание ученой степени кандидата технических наук по специальности 2.2.8 «Методы и приборы контроля и диагностики материалов, изделий, веществ и природной среды», используются на кафедре автоматики и управления в технических системах ФГБОУ ВО «Чувашский государственный университет им. И.Н. Ульянова» в учебном процессе при подготовке магистров по направлению 27.04.04 - «Управление в технических системах» (профиль "Встраиваемые системы управления") по дисциплинам «Планирование и методы автоматизации эксперимента» и «Автоматизированное проектирование средств и систем управления», при подготовке бакалавров по направлению 27.03.04 "Управление в технических системах" (профиль "Управление и информатика в технических системах") по дисциплине «Численные методы в системах управления» и при подготовке выпускных квалификационных работ.
О С} С I
о -л
Проректор по учебной работе
И.Е. Поверинов
Ней:
Тел.: Охоткин Г.П.
(8352)58-12-59(2714)
ПРИЛОЖЕНИЕ 3. Свидетельство о государственной регистрации
программы для ЭВМ
ПРИЛОЖЕНИЕ 4. Код программы для ПЛИС XC3S500E семейства
Spartan-3E
library IEEE;
use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD LOGIC ARITH.ALL;
entity Main is
port ( Clock_50M: in std_logic;
Btn_Down: in std_logic;
TestOutput: out std_logic_vector(1 downto 0) );
end Main;
architecture Behavioral of Main is
--- Clocks & Deviders ---
constant PulsePerPeriod_080K: integer constant PulsePerPeriod_04 0K: integer constant PulsePerPeriod_200: integer shared variable PulseCounter_080K: PulsePerPeriod_080K := 0;
shared variable PulseCounter_040K:
PulsePerPeriod_04 0K shared variable PulsePerPeriod_200 signal Clock_080K: signal Clock_040K: signal Clock 200:
= 0;
PulseCounter_200 = 0;
std_logic std_logic std logic
signal Clock 200 delay: std logic
'0' '0' '0' '0'
62 4; 0;
99;
integer integer integer
range
range
range
0 0 0
to to to
--- Package parameters ---
constant MaxBitCount: integer := 15;
constant PackageTypesCount: integer := 8; constant PackageType: integer := 0;
type TPackage is record BitCount: integer;
PulsePerBitCount: integer;
Package: std_logic_vector(0 to MaxBitCount-1) end record;
type TPackageList is array (0 to PackageTypesCount-1) of TPackage;
constant PackageList: TPackageList := (
5, 8, "000000000000000"), -- 00 Simple Package
5, 8, "010100000000000"), -- 01 Phase Modulation
7, 6, "010101000000000"), -- 02
11, 4, "010101010100000"), -- 03
5, 8, "000100000000000"), -- 04 BC_5
7, 6, "000110100000000"), -- 05
11, 4, "000111011010000"), -- 06
5, 8, "000000000000000") -- 07 reserved
shared variable PulsePerBitCounter: integer : shared variable BitCounter: integer range 0
= 0;
to MaxBitCount-1 :=
'0' '0' '0'
'0'; '0';
std logic
signal OnBeginSending: std_logic signal OnEndSending: std_logic signal Sending: std_logic
signal Package_Tx: std_logic := '0' -- Buttons & Debounce shared variable Key_01: bit := shared variable Key_02: bit :=
shared variable OnBtnDownEvent: std_logic := '0 begin
-- Frequency divider 50M^ -> 80кГц FrequencyDevider_0 8 0K: process(Clock_50M) begin
if rising_edge(Clock_50M) then
if (PulseCounter_0 8 0K >= 0) and _
PulsePerPeriod_080K) then
if PulseCounter_0 8 0K / = 0 then Clock_080K <= '0'; else
Clock_080K <= '1'; end if;
PulseCounter_0 8 0K := PulseCounter_080K + 1; elsif (PulseCounter_0 8 0K >= PulsePerPeriod_080K) then
PulseCounter_080K := 0; end if; end if;
end process FrequencyDevider 080K;
'PulseCounter 080K <
-- Frequency divider 80k^ -> 40k^ FrequencyDevider_04 0K: process(Clock_080K) begin
if rising_edge(Clock_080K) then Clock_04 0K <= not(Clock_040K); end if;
end process FrequencyDevider_040K;
-- Frequency divider 40кГц -> 200Гц
FrequencyDevider_200:
process(Clock_040K)
begin
if rising_edge(Clock_040K) then
if (PulseCounter_2 0 0 >= 0) and (PulseCounter_200 < PulsePerPeriod_200) then
PulseCounter_2 0 0 := PulseCounter_200 + 1; elsif (PulseCounter_200 >= PulsePerPeriod_200) then PulseCounter_200 := 0; Clock_2 0 0 <= not(Clock_2 00); end if; end if;
end process FrequencyDevider_200;
-- 1-cycle delay 40 kHz Clock_2 0 0_Delayer: process(Clock_50M) begin
if rising_edge(Clock_50M) then
Clock_200_delay <= Clock_200; end if;
end process Clock_200_Delayer; BeginTheTransmitt:
process(Clock_50M,Clock_080K,Clock_200,Clock_200_delay) begin
if rising_edge(Clock_50M) then
if (Clock_200 = '1') and (Clock_200_delay = '0') then OnBeginSending <= '1'; else
OnBeginSending <= '0'; end if; end if;
end process BeginTheTransmitt; Trigger:
process(OnBeginSending,OnEndSending) begin
if OnEndSending = '1' then
Sending <= '0'; else
if OnBeginSending = '1' then
Sending <= '1'; else
Sending <= Sending;
end if; end if; end process Trigger;
SendingProcess: process(Clock_08 0K,Clock_2 00) begin
if rising_edge(Clock_080K) then OnEndSending <= '0'; if (Sending = '1') then
if (BitCounter >= 0) and (BitCounter <= PackageList(PackageType).BitCount-1) then
if (PulsePerBitCounter >= 0) and (PulsePerBitCounter <= PackageList(PackageType).PulsePerBitCount*2-1) then
PulsePerBitCounter := PulsePerBitCounter + 1; if PulsePerBitCounter >=
PackageList(PackageType).PulsePerBitCount*2 then
PulsePerBitCounter := 0; BitCounter := BitCounter + 1;
if BitCounter >=
PackageList(PackageType).BitCount then
BitCounter := 0;
OnEndSending <= '1'; end if; end if; end if;
case PackageList(PackageType).Package(BitCounter) is when '0' => Package_Tx <= Clock_040K; when '1' => Package_Tx <= not(Clock_040K); when others => Package_Tx <= '0'; end case;
elsif BitCounter >= PackageList(PackageType).BitCount
then
BitCounter := 0; OnEndSending <= '1'; end if; else
Package_Tx <= '0'; end if; end if;
end process SendingProcess;
— OUTPUTS
TestOutput(0) <= Clock_04 0K;
TestOutput(1) <= Package_Tx; end Behavioral;
Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.