Разработка системы взаимосвязи блоков для микропроцессоров семейства МЦСТ-R тема диссертации и автореферата по ВАК РФ 05.13.05, кандидат наук Щербина, Николай Александрович

  • Щербина, Николай Александрович
  • кандидат науккандидат наук
  • 2014, Москва
  • Специальность ВАК РФ05.13.05
  • Количество страниц 119
Щербина, Николай Александрович. Разработка системы взаимосвязи блоков для микропроцессоров семейства МЦСТ-R: дис. кандидат наук: 05.13.05 - Элементы и устройства вычислительной техники и систем управления. Москва. 2014. 119 с.

Оглавление диссертации кандидат наук Щербина, Николай Александрович

Содержание

Введение

Глава

Сетевые методологии взаимосвязи в микропроцессорах

1.1 Сети межсоединений в вычислительной технике

1.2 Различные домены ПЧ

1.3 Возникновение сетевых методологий взаимосвязи в СнК

1.4 Задачи решаемые внедрением сетевых методологий взаимосвязи блоков СнК

1.5 Цели представленной работы

1.6 Области внедрения СМ взаимосвязи блоков микропроцессора

1.7 Особенности реализации СМ в микропроцессорах

Глава

Уровневая организация взаимосвязи блоков микропроцессора

2.1 Предпосылки формирования

2.2 Обеспечение качества обслуживания при пересылке сообщений через коммутационное поле

2.3 Обмен между оконечными объектами системы взаимосвязи

блоков

2.4 Синхронизация

2.5 Иерархия функций взаимосвязи блоков микропроцессора МЦСТ-ЮООО

2.6 Введение микроархитектурных слоев в общую функциональную иерархию ОВ

Глава

Реализация уровней взаимосвязи блоков в микропроцессоре МЦСТ-ШООО

Эи

3.1 Общая характеристика базового микропроцессора

3.2 Транзакции между блоками

3.3 Оборудование уровней взаимосвязи функциональных блоков

3.4 Реализация функций сетевого уровня

3.5 Пересылка транзакций при реализации функций транспортного уровня

3.6 Реализация функций сеансового уровня

/

С

Глава

Анализ эффективности разработанного оборудования взаимосвязи

блоков

4.1 Измерительный стенд

4.2 Требования С>о8

4.3 Производительность механизмов сетевого уровня

4.4 Анализ общей производительности оборудования взаимосвязи блоков микропроцессора

Глава

Перспективы развития сетевых методологий взаимосвязи блоков в микропроцессора семейства «Эльбрус» будущих поколений

5.1 Развитие технологических уровней ОВ

5.2 ОВ СнК МЦСТ-ШООО при интеграции новых функциональных блоков

5.3 Перспективы развития ОВ СнК МЦСТ-ШООО в сеть на кристалле

5.4 Направления исследований для перехода процесса проектирования микропроцессоров семейства «Эльбрус» к созданию сетей на кристаллах

Заключение

Список литературы

Рекомендованный список диссертаций по специальности «Элементы и устройства вычислительной техники и систем управления», 05.13.05 шифр ВАК

Введение диссертации (часть автореферата) на тему «Разработка системы взаимосвязи блоков для микропроцессоров семейства МЦСТ-R»

Введение

Передовой уровень вычислительных средств семейства МЦСТ-R [1] обусловил постоянно растущую потребность их использования в ряде ответственных применений — стационарных и перебазируемых, различных по функциям, режимам и основным характеристикам.

Рассматривая роль этого фактора в проектных решениях по линии стандартной архитектуры SPARC, можно условно выделить два этапа, ассоциируемых с базовыми типами микропроцессоров. Первый из них был связан с выпуском вычислительных комплексов (ВК) Эльбрус-90микро на основе одноядерных микропроцессоров МЦСТ-Я150 и МЦСТ-RSOO (восьмая версия архитектуры SPARC, тактовая частота, соответственно, 150 МГц и 500 МГц). Предполагаемый спектр применений перекрывался несколькими моделями ВК с близкой структурой, связывающей основные блоки через шину MBUS, но различным составом процессорных модулей, блоков памяти, периферии и разными форм-факторами. Гибкость внешней конфигурации обеспечивалась системой внешних интерфейсов, позволяющих прямыми каналами объединять ВК некоторых моделей в многомашинные комплексы, подключать локальные и удаленные устройства ввода/вывода через периферийные шины и высокоскоростные локальные сети. Особо можно отметить выполненный в общем потоке этих разработок четырехпроцессорный модуль MV/C на базе микропроцессора MIJCT-R500. В основном повторяя структуру ВК Эльбрус-90микро, он рассчитан на встраиваемые и мобильные применения, а потому оформлен в малогабаритном конструктиве и имеет урезанный состав модулей.

На втором этапе компания в основном ориентировалась на выпуск микропроцессорных модулей. С использованием микропроцессора MH|CT-R500 были спроектированы еще два типа заказных модулей, отличающихся внешним полем и форм-факторами, после чего базовым

микропроцессором стала двухъядерная система на кристалле (СнК) MLJCT-R500S [2], в которой основные функциональные блоки связаны через системный коммутатор. В составе модуля МВС/С четыре объединенные по кольцевой схеме микропроцессора этого типа образуют вычислительную систему с разделяемой памятью, оснащенную развитой периферией. Одновременно компания использовала MLJCT-R500S в двух модулях для обработки изображений, включающих видеоконтроллеры МГА, один из которых предназначен для индустриальных применений. На базе следующей разработки - четырехъядерного микропроцессора МЦСТ-RIOOO (девятая версия архитектуры SPARC, тактовая частота 1ГТц) - были выпущены процессорные модули МВС4-1/С и МВС4-РС, в составе каждого из которых четыре микросхемы, способные взаимодействовать по схеме «каждый с каждым» через каналы межсистемного обмена, образуют ccNUMA-iaiacTep. Надо отметить, что каждый из этих серийно выпускаемых модулей -используется в составе одного из компьютерных узлов, в основном, встроенного или перебазируемого применения.

Приведенные сведения позволяют заключить, что соответствие продукции семейства МЦСТ-R наиболее актуальным применениям по мере возможности обеспечивалось выпуском отдельных, ориентированных на заданную специфику вычислительных устройств. Подобная практика весьма эффективна, однако требует большого количества проектных ресурсов. В условиях их ограничения, типичных для отечественной микроэлектроники, расширение спектра выпускаемой продукции приводит к увеличению времени ее разработки, отладки и ввода в эксплуатацию.

В недалекой перспективе успех предпринимаемых по многим направлениям инноваций, поддерживаемых государством, окажется в решающей зависимости от своевременного выпуска передовых отечественных вычислительных средств. Таким образом, уже сейчас необходимо отлаживать методологии позволяющие в предельно сжатые

сроки выпускать продукцию, ориентированную на специфику того или иного применения. В первую очередь это касается основных элементов вычислительных систем — микропроцессоров.

Следует отметить, что уже больше десяти лет назад проблема подобного рода встала перед зарубежными производителями, когда в условиях жесткой конкуренции очевидной стала необходимость найти пути оперативной и наименее затратной ориентации продукции на бурно развивающиеся применения. Основной идеей решения проблемы, основывающейся на впечатляющих достижениях субмикронных технологий, стало использование сетевых методологий (СМ), позволяющих реализовать в пределах кристалла взаимосвязь его блоков, непосредственно занятых в вычислениях. При разном их количестве, типах и сочетаниях в основе этих методологий лежало два принципа, многократно и в ряде вариантов использованных в проектировании компьютерных сетей — разделение на функциональные уровни (иерархическая структура) системы взаимосвязи и независимое обеспечение эффективной взаимосвязи блоков на каждом уровне.

За прошедшее время СМ были реализованы в кремнии рядом представленных на мировом рынке производителей, в том числе, лидирующими корпорациями — IBM [23] [24] [38], Intel [13] [15] [46] [62]. Практика выпуска специализированных микропроцессоров, отличающихся набором различных ускорителей и масштабированием количества тех или иных блоков, без развития микроархитектуры модулей, интегрируемых на базе СМ является общепринятой в СнК для встроенных применений. Подтверждение этому — опыт компаний Freescale [17][18][19], TI [21], Marvell [20], Broadcom [47]. Идеология сетей на кристалле (Network on Chip, NoC) позволяет упростить процесс проектирования уровня СнК микросхемы, давая возможность удержаться в жестких временных рамках, установленных законами современного микропроцессорного рынка. Становление и развитие

этой проектной линии в отечественном компыотеростроении сейчас является принципиально важной проблемой.

Актуальность данной работы обусловлена необходимостью освоения и внедрения в процесс разработки отечественных высокопроизводительных микропроцессоров сетевой методологии, обеспечивающей возможность их быстрой модификации . для соответствия широкому спектру высокотехнологичных инновационных применений.

Характерная черта этого процесса заключается в том, что в отличие от рассчитанных на широчайшее использование методологий глобальных (WAN) и локальных (LAN) сетей, стандарты которых формируются в результате совместной деятельности широкого круга независимых специалистов, в случае NoC такая работа инициируется в собственных интересах одной компании-производителя, выполняется его проектными структурами и в конечном итоге завершается выпуском производственных спецификаций. Соответственно, ее постановка и проведение в определяющей степени зависит от ресурсов и технологических возможностей инициатора. Масштаб перечисленных выше зарубежных производителей позволил им достаточно оперативно приступить к проектированию серийной продукции. В эльбрусовской постановке, традиционно предполагающей строгую экономию проектных ресурсов, решено было предпослать ему данное исследование, которое проведено в рамках ОКР по созданию серийного образца четырехъядерного микропроцессора МЦСТ-RIOOO. Его выбор обусловлен следующими причинами:

• предстояло обеспечить взаимосвязь достаточно большого (для апробации СМ) числа блоков, в составе структуры СнК;

• предусматривалось соединение четырех микропроцессоров в ccNUMA-кластере, что делало протокол обмена данными между блоками СнК достаточно емким для иерархического представления;

• большую долю оборудования блоков микропроцессора предстояло разработать в рамках данного ОКР, это обстоятельство снимало ограничения, накладываемые при переиспользовании модулей из старых проектов;

• семейство микропроцессоров МЦСТ-К разрабатывается с ориентировкой на целый ряд встроенных и перебазируемых применений — эта проектная линия в первую очередь нуждается во внедрении СМ взаимосвязи на кристалле.

Большое значение имело то обстоятельство, что согласно одному из исходных решений, принятых инженерами при проектировании микропроцессора, его блоки были связаны через сгоэзЬаг-коммутатор. Фактически, этим задавался достаточно приемлемый для первого, сложного исследования масштаб сетевых проблем: ограничивалось (хотя и допускало требуемое масштабирование) число взаимосвязанных блоков и исключалась проблема выбора топологии коммуникационной подсети, реализации сложных методов маршрутизации и коммутации в ее узлах Цель данного исследования состояла в

• разработке системы аппаратных средств, обеспечивающей эффективную взаимосвязь блоков в СнК МЦСТ-Ю ООО;

• адаптации микроархитектуры микропроцессоров семейства МЦСТ-Я к возможности унифицированного введения новых типов блоков;

• определении и реализации механизмов взаимодействия блоков, приемлемых для перспективных микропроцессоров с многоузловой топологией системы взаимосвязи.

В процессе исследования решались следующие задачи:

• формирование иерархии функций, необходимых для взаимодействия блоков СнК;

• разработка аппаратных средств СнК, соответствующих каждому выделенному функциональному уровню иерархии;

• анализ характеристик оборудования каждого уровня и системы взаимосвязи в целом;

• выработка рекомендаций по развитию представленных принципов построения системы взаимосвязи и разработанных микроархитектурных элементов в будущих поколениях микропроцессоров серии МЦСТ-R.

Методы исследования, примененные для решения поставленных задач включали в себя:

• использование элементов теории марковских цепей и теории очередей, как средства анализа характеристик оборудования взаимосвязи (ОВ) блоков СнК;

• создание rtl-модели ОВ, при помощи языка описания аппаратуры Verilog;

• моделирование поведения аппаратуры средствами Synopsys VCS для экспериментального определения параметров производительности ОВ;

• использование САПР Synposys Design Compiler, Astro, для оценки физических параметров, разработанной аппаратуры.

Научная новизна результатов исследования

• Введение уровпевой иерархии функций системы взаимосвязи блоков в СнК.

• Рассмотрение организации транзакций обмена данными между блоками и обеспечения необходимого уровня параллелизма в их выполнении, как функций транспортного уровня системы взаимосвязи.

• Выделение функций синхронизации транзакций обмена данными в сеансовый уровень системы взаимосвязи блоков в СнК.

Практическая ценность исследования состоит в разработке методов объединения блоков СнК, существенно повышающих ее модульность. Микропроцессор, в оборудовании взаимосвязи которого применены эти

методы, может в сравнительно сжатые сроки быть модифицирован путем добавления в его состав функциональных модулей, необходимых для новых применений.

Данные методы внедрены в ОВ четырехъядерных микропроцессоров МЦСТ-ЮООО и МЦСТ-Ю000М, прошедших все виды испытаний и серийно выпускаемых в настоящее время.

Основные результаты работы

• Спецификация иерархической структуры функций системы взаимосвязи блоков в СнК. Выделены сетевой, транспортный и сеансовый уровни. Указаны функции, соответствующие этим уровням.

• Разработка микроархитектурных элементов применительно к каждому из выделенных функциональных уровней. Получены аппаратные решения, соответствующие каждому функциональному уровню системы взаимосвязи. Спроектированные механизмы реализованы в составе СнК МЦСТ-ЮООО.

• Определение принципов построения микроархитектуры системы взаимосвязи СнК, на основе которой возможно объединение блоков вне зависимости от их конкретного функционального назначения. На базе рассмотренной модели СнК определены принципы формирования системы взаимосвязи ее блоков, применимые для СнК, соответствующих указанной модели. Данные принципы реализованы в СнК МЦСТ-ЮООО. Приведены примеры модификации этой микросхемы, заключающиеся в интеграции дополнительных вычислительных модулей на базе разработанной микроархитектуры системы взаимосвязи. Произведен анализ проблем, возникающих при использовании многоузловых топологий коммуникационных фабрик в перспективных микропроцессорах семейств МЦСТ-Я. Рассмотрен вариант применения подобных топологий в рамках развития СнК

МЦСТ-RIOOO, предусматривающего масштабирование количества процессорных ядер.

• Исследование вариантов применения разработанной системы взаимосвязи блоков в будущих поколения микропроцессоров, использующих многоузловые топологии коммутационных фабрик.

Апробация работы

Результаты работы докладывались на различных всероссийских и международных научно-технических конференциях:

• 52-ая и 54-ая научные конференции МФТИ (2009, 2011 гг.);

• Научно-техническая конференция «Перспективные направления развития средств вычислительной техники» (Москва, ОАО «Концерн «ВЕГА», ОАО «НИЦЭВТ», 2011 г);

• XXXVII Международная молодежная научная конференция «Гагаринские чтения» (Москва, МАТИ, 2011 г.);

• Всероссийская научно-техническая конференция «Прикладные научно-технические проблемы современной теории управления системами и процессами» (Москва, ОАО «Концерн «Вега», 2012 г.).

Публикации

• Щербина H.A. Разработка системного коммутатора для микропроцессора МЦСТ-4Я // Труды 52-й научно конференции МФТИ, Часть 1, Том 1, М.:МФТИ, 2009, С. 77-79.

• Щербина H.A. Системный коммутатор для микропроцессора «МЦСТ-4R» // Вопросы радиоэлектроники, серия ЭВТ, Выпуск 3, 2010, С. 93102.

• Щербина H.A. Факторы обеспечения качества обслуживания в сетях на кристалле // Научно-техническая конференция «Перспективные направления развития средств вычислительной техники». Сборник тезисов и докладов. М.: ОАО «Концерн «Вега», 2011, С. 45-46.

• Щербина H.A. Проблемы реализации сетевых подходов при создании СнК и NUMA-систем на их основе (на примере микропроцессора «МЦСТ-411») // XXXVII Гагаринские чтения. Научные труды Международной молодежной научной конференции в 8 т. Т. 4, М.: «МАТИ»-РГГУ, 2011, С. 125-126.

• Волин В.С, Щербина Н.А Анализ потребляемой мощности СнК «ML]CT-4R» // Труды 54-й научно конференции МФТИ, Радиотехника и кибернетика, Том 1, М.:МФТИ, 2011, С. 31-32.

• Щербина H.A., Черепанов С.А. Применение концепций сетей межсоединений при проектировании СБИС // Всероссийская молодежная научно-техническая конференция «Прикладные научно-технические проблемы современной теории управления системами и процессами». Сборник тезисов и докладов. М.: ФНПЦ ОАО «Концерн «Вега», 2012, С. 88-89.

• Волин B.C., Черепанов С.А., Щербина H.A. Организация поддержки когерентности в системе на кристалле «МЦСТ-RIOOO» // Вопросы радиоэлектроники, серия ЭВТ, Выпуск 3, 2012, С. 14-27.

• Волин B.C., Щербина H.A. Анализ потребляемой мощности для опытных образцов СнК «МЦСТ-RIOOO» // Вопросы радиоэлектроники, серия ЭВТ, Выпуск 3, 2013, С. 135-143.

Структура и объем диссертации

Диссертация состоит из введения, пяти глав, заключения и списка литературы, насчитывающего 64 наименования. Работа изложена на 114 страницах, содержит 23 рисунка и 4 таблицы.

В первой главе рассматриваются сетевые методологии взаимосвязи на различных уровнях вычислительной техники, причины применения СМ при разработке оборудования взаимосвязи микропроцессоров, приводятся примеры анализа функций и задач OB, указываются возможные области внедрения СМ взаимосвязи в СнК, определяются цели данного исследования,

анализируются отличительные особенности реализации СМ на кристалле от разработки сетей более высоких уровней.

Во второй главе вводится обобщенное представление блоков микропроцессора и связей между ними, на его основе анализируются микроархитектурные проблемы организации взаимодействия модулей СнК, вырабатывается уровневая иерархия логических функций ОВ микропроцессора.

В третьей главе приводятся характеристики микропроцессора МЦСТ-ЮООО и требования к оборудованию взаимосвязи его блоков, описывается реализация каждого слоя уровневой структуры функций ОВ в МЦСТ-ШООО, предоставляются теоретические обоснования принятых инженерных решений.

Четвертая глава посвящена анализу характеристик разработанного оборудования. В ней описываются эксперименты, поставленные над ОВ, приводятся результаты моделирования его работы, на ■ основе которых делаются выводы об удовлетворении требованиям, изначально предъявленным к оборудованию.

В пятой главе рассматриваются возможные варианты модификаций МЦСТ-ШООО, предполагающие без изменений в микроархитектуре ОВ добавление новых функциональных модулей в состав СнК, представляется пример развития семейства микропроцессоров МЦСТ-Я, предусматривающий эволюцию разработанного оборудования в сеть на кристалле. Анализируя проблемы, возникающие при разработке описанных примеров СнК, определяются дальнейшие направления исследования по теме ИоС.

Глава 1

Сетевые методологии взаимосвязи в микропроцессорах

1.1Сети межсоединений в вычислительной технике

Важным аспектом в построении вычислительной техники (ВТ) на всех ее уровнях является вопрос обеспечения взаимодействия между такими ее компонентами как процессоры, память и устройства ввода-вывода. Под взаимодействием между модулями ВТ подразумевается обмен информационными сообщениями между ними по средствам некоторого оборудования взаимосвязи. Во многих случаях заслуженно получил распространение сетевой подход организации взаимодействия компонентов. В такой ситуации ОВ представляет собой сеть межсоединений (Interconnection Network, IN)

IN встречаются на многих уровнях вычислительных систем. Они представляют собой сети разных объемов, различных степеней сложности, но предназначенные для решения схожих задач. На уровне микросхемы они могут транспортировать данные между различными элементами чипа. На уровне плат — обеспечивать взаимодействие процессоров с памятью и устройствами ввода - вывода. Наконец, локальные и глобальные сети объединяют огромные вычислительные ресурсы, целых предприятий, стран и континентов.

1.2 Различные домены IN

Сети межсоединений разделяют на четыре различных домена, по уровню ВТ, на котором они находятся, количеству узлов, входящих в сеть, физическим размерам IN, технологиям, используемым при их изготовлении и задачам, решению которых они предназначены [11].

Глобальные компьютерные сети (ГКС) (Wide area network, WAN) — соединяют вычислительные системы, распределенные по всей планете, требующие зачастую межсетевого (internetworking) взаимодействия. WAN объединяют миллионы компьютеров, расположенных на расстоянии нескольких тысяч километров.

Локальные компьютерные сети (Local area network, LAN) —

используются для объединения автономных, изолированных вычислительных систем, расположенных в пределах одной комнаты, здания или группы зданий. LAN может включать в себя от нескольких десятков до нескольких тысяч вычислительных узлов. Расстояния, на которых они расположены друг от друга, колеблются от десяти метров до нескольких километров.

Системные компьютерные сети (System area network, SAN) —

применяются для обеспечения межпроцессорного взаимодействия и информационного обмена процессор-память в многопроцессорных вычислительных комплексах. К этому домену IN относят сети, объединяющие устройства хранения данных и компоненты периферии в составе серверов и центров обработки информации (data center). Типично-такие сети содержат до нескольких сотен узлов, хотя в составе сверх производительных комплексов SAN могут поддерживать взаимодействие нескольких сотен тысяч устройств. Максимальная длина межсоединений относительно мала — порядка нескольких, может быть нескольких десятков метров.

Сети на кристалле (Networks on chips, On-chip networks, NoC, OCN) — используются для объединения функциональных модулей одной микросхемы, таких как процессорные ядра, кэши высших уровней, контроллеры памяти, встроенные адаптеры периферийных устройств и

всевозможные IP-блоки. В высокопроизводительных СнК сети на кристалле применяются для объединения до нескольких десятков процессорных ядер общего назначения. Так например, в Intel TeraFLOPS [13], на одном кристалле взаимодействуют 80 ядер, в Tilera TILE64 [14] интегрированы 64 ядра. Представителями данного класса СнК также являются Intel Single-Chip Cloud Computer (SCCC) [15] (48 процессорных модулей на кристалле), Godson-T [16 ] (64 процессорных модуля на кристалле). Помимо этого NoC применяются для построении СнК для встроенных систем, где на одном кристалле объединяются, помимо ядер общего назначения, специализированные вычислительные модули, такие как сигнальные процессоры DSP, графические ядра GPU и всевозможные ускорители. В таких микросхемах обычно IN объединяет около десятка устройств. Примерами таких чипов могут служить Freescale QorlQ Qonverege [17] [18] [19], объединяющие на одном кристалле ядра общего назначения и DSP ускорители; Marvel 1 Armada [20] и TI ОМАР [21], в которых на одном чипе объединяются процессорные модули, криптографический ускорители, LCD адаптеры и ряд периферийных контроллеров. Понятно, что все узлы IN в данном домене располагаются на расстоянии порядка сантиметра.

На рис. 1.1 представлено отношение разных доменов сетей межсоединений в терминах количества устройств, которые они объединяют, и расстояния, на которых эти устройства располагаются друг от друга.

В данной работе речь пойдет о самом молодом домене IN, а именно о Сетях на кристалле. В связи с технологической возможностью интегрировать множества ядер на одном кристалле и создавать гетерогенные СнК, состоящие из большого количества разнородных вычислительных модулей, технологии IN, как средства взаимосвязи блоков одной микросхемы выглядят весьма привлекательно. Эффективность их использования при проектировании СБИС подтверждена многими успешными зарубежными

проектами.

5 х Ю-3 -

1 10 100 1000 10,000 >100,000 Number of devices interconnected

Рис. 1.1

Взаимоотношения четырех доменов IN: WAN, LAN, SAN и OCN в терминах количества устройств, объединенных ими и их физических масштабов. Рисунок из [11].

1.3 Возникновение сетевых методологий взаимосвязи в СнК

По сравнению с другими доменами 1Ы, сети на кристалле очень молодое понятие и его концепции находятся на раннем этапе своего развития. В конце 1990х традиционные пути взаимосвязи таких блоков микропроцессора, как кэш-памяти, регистровые файлы, арифметические устройства, сводились либо к использованию выделенных (с!еюа1ес1) каналов, предназначенных для передачи определенного типа сообщений между конкретной парой устройств, либо обшей шине [11]. Первое имело цель минимизировать задержки передачи сообщений, второе — упростить межмодульное взаимодействие. С ростом степени интеграции оборудования на одной микросхеме и с приходом парадигмы системы на кристалле

увеличивается количество устройств, взаимодействующих друг с другом на одной СБИС, длины и задержки проводов, мощность потребления чипа, связанная с коммуникацией на кристалле. Становится необходимо более эффективно использовать физические возможности чипа по передаче информации между его модулями. Появляются аспекты того, что уже через несколько лет будет называться сетями на кристаллах. Одними из первых обративших на это внимание были A. Agarwal — 1997 [27] и W. Dally — 1999 [28], 2001 [6]. Они и другие утверждают, что сеть на чипе, оперирующая пакетами сообщений, позволяет эффективнее разделять ресурсы проводов микросхемы между различными коммуникационными потоками и улучшает модульность архитектуры СБИС и устраняет проблемы, связанные с длинными связями на кристалле [29].

Сети на кристалле были представлены как коммуникационная архитектура, обеспечивающая более высокую устойчивость к ошибкам, за счет их изолированности. Задачи проектирования подобной NoC были описаны М. Taylor и др. — 2005 [35]. Процесс проектирования NoC, представляющий собой полностью автоматизированный маршрут синтеза сети на кристалле, был предложен D. Bertozzi и др. — 2005 [36]. Отталкиваясь от этих первых работ множество ученных и инженеров продолжили исследования в сфере сетей на кристаллах, сделав эту область одной из важных в микроархитектуре СнК.

К середине 2000х NoC начинают активно проявлять себя в промышленной реализации. Современные примеры микропроцессоров, использующих идеи NoC, упомянуты в пункте 1.2 данной работы, а обзор более ранних можно найти у Т. Pinkston и J. Shin — 2005 [37]. Популярность сетевых методологий взаимосвязи блоков микросхем вызвана тем, что их применение позволяет сократить время проектирование микропроцессора на уровне СнК. Время выхода чипов на рынок (time to market) и умение придерживаться строгого проектного расписания одни из решающих

факторов успеха, компаний в мировой микропроцессорной индустрии. Это стало одной из причин активной экспансии сетевых методологий в СнК.

1.4 Задачи решаемые внедрением сетевых методологий взаимосвязи блоков СнК

Объединение модулей СнК

Основная задача, стоящая перед СМ в микропроцессорах, исходит из проблемы интеграции множества блоков (процессоров, ускорителей, контроллеров, элементов памяти) на кристалл и обеспечения их взаимодействия в виде обмена данными. СМ решают такую задачу, предоставляя коммуникационную платформу внутри чипа обладающую рядом достоинств:

• модульностью,

• масштабируемостью,

• гибкостью,

• возможностью наследования между проектами.

Если первые два свойства не нуждаются в разъяснении, то смысл последних не столь ясен и нуждается в более развернутом изложении.

В то время как цена разработки и производства полупроводниковой техники растет, все более привлекательной становится возможность переиспользования блоков ранее разработанных микросхем либо лицензированных 1Р-блоков. Свойство модульности проекта упрощает этот процесс и является приоритетным в современных СнК. Однако, существует ситуация когда необходимо в сжатые сроки и с минимальными затратами модифицировать уже спроектированную СнК. Скажем, добавить или убрать какой-либо сопроцессор, ускоритель периферийный контроллер. Такое часто требуется на рынке микропроцессоров для встроенного применения. В таких случаях возможность быстро модифицировать проект

Похожие диссертационные работы по специальности «Элементы и устройства вычислительной техники и систем управления», 05.13.05 шифр ВАК

Список литературы диссертационного исследования кандидат наук Щербина, Николай Александрович, 2014 год

Список литературы

1. Ким А.К., Перекатов В.И. Ермаков С.Г. Микропроцессоры и вычислительные комплексы семейства «Эльбрус» — СПб.: Питер, 2013.

2. Фельдман В.М. Система на кристалле «MLJCT-R500S» — «Вопросы радиоэлектроники», сер. ЭВТ, 2008, вып. 2.

3. Волин B.C. Организация подкачки кода в процессорном ядре системы на кристалле «МЦСТ-RIOOO» — «Вопросы радиоэлектроники», сер. ЭВТ, 2012, вып. 3.

4. Ким А.К., Михайлов М.С., Фельдман В.М. Подсистема ввода-вывода для систем ан кристалле «МЦСТ-4И» и «ЭЛЬБРУС-S» на основе микросхем контроллера периферийных интерфейсов — «Вопросы радиоэлектроники», сер. ЭВТ, 2012, вып. 3.

5. Волин B.C., Черепанов С.А., Щербина Н.А. Организация поддержки когерентности в системе не кристалле «МЦСТ-RIOOO» — «Вопросы радиоэлектроники», сер. ЭВТ, 2012, вып. 3.

6. Dally W.J., Towles В. Route packets, not wires: On-chip interconnection networks — «Design Automation Conference», 2001.

7. Micheli G., Benini L. Networks on chips — Morgan Kaufman, 2006.

8. Kumar S., Jantsch A., et al A network on chip architecture and design methodology — «IEEE Computer Society Annual Symposium on VLSI», 2002.

9. Щербина Н.А. Системный коммутатор для микропроцессора «МЦСТ-4R» — «Вопросы радиоэлектроники», сер. ЭВТ, 2010, вып. 3.

1 O.Patterson D.A., Hennessy J.L. Computer organization and cesign. The hardware/software interface. 4th edition — Morgan Kaufman, 2009.

11.Hennessy J.L., Patterson D.A., Computer architecture. A quantitative aproach. 5th edition — Morgan Kaufman, 2011.

12.Dally W.J., Towles В. Principles and practices of interconnection networks

— Morgan Kaufman, 2004.

13.Vangal S.R., Howard J., Ruhl G., et al An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS - «Solid-State Circuits, IEEE», 2008, vol.1, no. 1.

14.Wentzlaff D., Griffin P., Hoffman H., et al On-chip interconnection architecture of the Tile processor — «Micro, IEEE», 2007, vol. 27, no. 5.

15.Howard J., et al A 48-core IA-32 message-passing processor with DVFS in 45nm CMOS — «IEEE International Solid-State Circuit Conference», 2010.

16.Fan D., Zhang H., Wang D., et al Godson-T: an efficient many-core processor exploring thread-level parallelism — «Micro, IEEE», 2012, vol. 32, no. 2.

17.Halfhill T.R., Frescale pumps up P5 series — «Microprocessor Report», 2012, May.

18.Halfhill T.R., Freescale's Qonverge goes macro — «Microprocessor Report», 2012, March.

19.Byrne J. Frescale cues CPU-DSP hybrid — «Microprocessor Report», 2011, February.

20.Cwennap L. Marvel lands a quad — «Microprocessor Report», 2010, December.

21 .Baron M. More applications for OMAP4 — «Micriprocessor Report», 2009, November

22.Волин B.C., Щербина H.A Анализ потребляемой мощности для опытных образцов СнК «МЦСТ-RIOOO» — «Вопросы радиоэлектроники», сер. ЭВТ, 2013, вып. 3.

23.Hofsteen Н.Р. Power efficient processor architecture and the cell processor

— «International Symposium on High Performance Computer Architecture», 2005.

24.Cwennap L. Power8 muscles up for servers — «Microprocessor Report»,

2013, September.

25.Ким A.K., Российские универсальные микропроцессоры и ВК выскокой производительности: результаты и взгляд в будущее — «Вопросы радиоэлектроники», сер. ЭВТ, 2012, вып. 3.

26.Culler D., Singh J.P., Gupta A. parallel computer architecture. A hardware/software approach — Morgan Kaufman, 1997.

27.Waingold E., Taylor M., Srikrishna D., et al Baring ia all to software: Raw Machines — «Computer, IEEE», 1997, no. 30.

28.Dally W.J. Interconnect limited VLSI architecture — «International Interconnect Technology Conference», 1999.

29.Ho R., May K.W., Horowitz M.A. The future of wires — «1ЕЕЕ», 2001, vol. 89, no. 4.

30.Smith K.C., Wang A., Fujino L.C. Trend tracking - «International SolidState Circuits Conference, IEEE», 2012.

31.Krewell K. IBM's Power4 unveiling continues — «Microprocessor Report», 2000, November.

32.Krewell K. UltraSPARC IV mirrors predecessor — «Microprocessor Report», 2003, November.

33.Krewell K. Power5 tops on bandwith — «Microprocessor Report», 2003, December.

34.Halfhill T.R. Cavium branches out — «Microprocessor Report», 2004, October.

35.Taylor M.B., Lee W., Amarasinghe S.P., et al Scalar operand network — «Parallel and Distributed Systems, IEEE», 2005, vol. 16, no. 2.

36.Bertozzi D.A., Jalabert S., Murali R., et al NoC synthesis flow for customized domain specific multiprocessor system-on-chip — «Parallel and Distributed Systems, IEEE», 2005, vol. 16, no. 2.

37.Pinkston T.M., Shin J. Trends toward on-chip networked microsystems — «International Journal of High Performance Computing and Networking»,

2005, vol. 3, no. 1.

38.McGregor J. Interconnects target SoC design — «Microprocessor Report», 2004, June.

39.Semicondactor Industry Association The international technology roadmap for semiconductors, 2005 edition — 2005.

40.Silvino C., Lajolo M., Palermo G. Low power network-on-chip — Springer, 2011

41.Yoo H., Lee K., Kim J.K. Low-power NoC fro high-performance SoC design — CRC Press, 2008.

42.Lee K., Lee S., Yoo H. Low-power NoC fro high-performance SoC design -«Transactions on VLSI Systems», 2006, vol. 14, no. 2.

43.Pasricha S., Dutt N. On-chip communication architecture. System on chup Interconnect — Morgan Kaufman, 2008.

44. Jerger N.E., Peh L. On-chip networks — Morgan & Claypool, 2009.

45.Tremblay M., Chaudhry S. A third-generation 65nm 16-core 32-tread plus 32-scout-tread CMT SPARC processor — «International Solid-State Circuits Conference, IEEE», 2008.

46.Gwennap L. Xeon E5 gets 22nm refresh — «Microprocessor Report», 2013, September.

47.Halfhill T.R. L. Broadcom samples 28nm XLPII — «Microprocessor Report», 2012, October.

48.Feehrer J., Jairath S., Loewenstein P., et al The Oracle SPARC T5 16-core processor scales to eight sockets — «Micro, IEEE», 2013, vol. 33, no. 2.

49.Ganguly A., Chang K., Pande P.P., et al Performance evaluation of wireless networks on chip architecture — «Quality of Electronic Design», 2009.

50.Balfour J., Dally W.J. Design tradeoffs for tiled CMP on-chip-networks — «International Conference on Supercomputing, ACM», 2006.

51.Grot B., Hestness J., Keckler S.W., et al A QoS-Enabled on-die interconnect fabric for kilo-node chips — «Micro, IEEE», 2012.

52.Таненбаум Э. Компьютерные сети. 4-е издание — Питер, 2003.

53.Information technology. Open systems interconnection. Basic reference model —ISO 7498-1.

54.Muzino M., et al Elastic interconnects: repeater-inserted long wiring capable of compressing and decompressing data — «International Solid-States Circuits Conference, IEEE», 2001.

55.Bashirullah R., et al Current-mode signaling in deep submicrometer global interconnect — «Transactionos on VLSI Systems, IEEE», 2003, vol. 11, no. 3.

56.Cardarilli G.C., et al Low voltage swing circuits for low dissipation busses — «International Symposium on Circuits and Systems», 1997.

57.Lee K., et al SILENT: serialized low energy transmission coding for on-chip interconnection networks — «International Conference on Computer Aided Design», 2004.

58.Pullini A., Angiolini F., Bertozzi D., et al Fault tolerance overhead in network-on-chip flow control Schemes — «Symposium on Integrated Circuits and System Design», 2005.

59.Maheshwari A., Burleson W., Tessier R Trading off transient tolerance and power consumption in DSM VLSI circuts — «Transactions on VLSI Systems, IEEE», 2004, vol. 12, no. 3.

60.Перов Н.Ю., Поляков Н.Ю. Обеспечение упорядоченности выполнения DMA-операций в NUMA-системах методом предварительного кэширования — «Вопросы радиоэлектроники», сер. ЭВТ, 2013, вып. 3.

61 .AMBAAXI protocol vl.O specification — ARM Limited, 2004.

62.Gwennap L., Sandy bridge spans generations — «Microprocessor Report», 2010, September.

63.AMBA specification rev. 2.0 —ARM Limited, 1999.

64.Черепанов С.А., Эсула О.И. Методология проектирования протокола когерентности кэщ-памяти 1-го и 2-го уровней микропроцессора

«МЦСТ-RIOOO» — «Вопросы радиоэлектроники», сер. ЭВТ, 2013, вып. 3.

Закрытое акционерное общество «МЦСТ» (ЗАО «МЦСТ»)

ул. Шаболовка, д. 31 Г, Москва, 115162 тел.: (495) 363-96-65 факс: (495) 363-95-99 http://www.mcst.ru e-mail: mcst@mcst.ru

ISO 900t д

■ .Iii

ri'i-

t% Лг) U

«'I

Утверждаю Заместитель гс рального директора

к.т.н. Кузьмич A.B.

■rz_ 2014г.

Акт о внедрении

результатов кандидатской диссертационной работы Щербины Николая Александровича «Разработка системы взаимосвязи блоков для семейства микропроцессоров МЦСТ-1Ъ>

Комиссия в составе председателя — д.т.н., проф. Рябцева Ю.С., членов комиссии — к.т.н. Груздова Ф.А., к.т.н. Воробушкова В.В. составила настоящий акт о том, что результаты работы Щербины Николая Александровича «Разработка системы взаимосвязи блоков для семейства микропроцессоров МЦСТ-1Ъ>, представленной на соискание ученой степени кандидата технических наук, использованы при проектировании четырехъядерной СнК МЦСТ-ШООО (проектное название МЦСТ-4К). Данная СнК в соответствии с актом приемки опытно-конструкторской работы «Разработки 4-х ядерной системы на кристалле «МЦСТ-4П» со встроенными контроллерами и вычислительных средств на ее основе» в части микросхемы МЦСТ-4К. (шифр ОКР «Чемпионство-2») от 24 ноября 2011 г. прошла государственные испытания и рекомендована к промышленному применению.

Председатель комиссии Члены комиссии:

Ю.С. Рябцев Ф.А. Груздов В.В. Воробушков

^^ ОТКРЫТОЕ АКЦИОНЕРНОЕ ОБЩЕСТВО

«КОНЦЕРН «М О РИН ФОРМ СИСТЕМ А-АГАТ» ИР

УТВЕРЖДАЮ

^-•-¿"Заместитель генерального

^Г'^-'^ирект^^а по производству -

^у «й^ни^ийдиРе1СГ0Р

? РI" (сКОРияфор«снсгеиа-Л»± 3-6^7

ДГ5Г-

п ^ Ч\ лГаГ-'

_ В.П.Киселевич

" иЛ^Г, 2014 г.

АКТ О РЕАЛИЗАЦИИ

результатов кандидатской диссертации Щербины Николая Александровича «Разработка системы взаимосвязи блоков для семейства микропроцессоров МЦСТ-Я»

КОМИССИЯ В СОСТАВЕ председателя - В.Н.Морозова и членов комиссии -С.И.Туника, В.М.Константиновского составила настоящий акт, заключающийся в том, что результаты диссертационных исследований Н.А.Щербины, в частности, разработка системы на кристалле МЦСТ-Ш000, использованы в вычислительной системе ДАЕИ.461229.053, разработанной в рамках ОКР «Таймер».

Председатель комиссии:

начальник отдела 101

Члены комиссии:

//

//

В.Н.Морозов

первый заместитель нач. отдела 101

главный научный сотрудник

С.И.Туник

В.МЖонстантиновский

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.