Обработка видеоданных на перестраиваемых вычислительных средах в самоорганизующихся сетях FANET тема диссертации и автореферата по ВАК РФ 00.00.00, кандидат наук Бондарчук Антон Сергеевич

  • Бондарчук Антон Сергеевич
  • кандидат науккандидат наук
  • 2023, ФГБОУ ВО «Сибирский государственный университет телекоммуникаций и информатики»
  • Специальность ВАК РФ00.00.00
  • Количество страниц 201
Бондарчук Антон Сергеевич. Обработка видеоданных на перестраиваемых вычислительных средах в самоорганизующихся сетях FANET: дис. кандидат наук: 00.00.00 - Другие cпециальности. ФГБОУ ВО «Сибирский государственный университет телекоммуникаций и информатики». 2023. 201 с.

Оглавление диссертации кандидат наук Бондарчук Антон Сергеевич

Введение

Глава 1. Анализ состояния проблемы построения сетей связи для малогабаритных БПЛА, выполняющих задачи обработки видеоданных

1.1. Свойства и характеристики беспроводной одноранговой сети

1.2. Цифровая обработка изображений

1.2.1. Основные понятия цифровой обработки изображений

1.2.2. Математическое представление изображения

1.2.3. Уровни обработки цифрового изображения

1.2.4. Яркостные преобразования и пространственная фильтрация

1.2.5. Выделение признаков изображения или области изображения

1.2.6. Метод опорных векторов

1.3. Перестраиваемая вычислительная среда

1.3.1. Вводные понятия

1.3.2. Перестраиваемые автоматы и вычислительные среды

1.3.2.1. Способы задания функций алгебры логики

1.3.2.2. Классификация автоматов

1.3.2.3. Перестраиваемые автоматы

1.3.2.4. Перестраиваемые вычислительные среды

1.4. Выводы

Глава 2. Разработка алгоритмов обработки изображений на перестраиваемых вычислительных средах

2.1. Концепция процесса обработки изображения на перестраиваемых вычислительных средах

2.1.1. Синтез перестраиваемых вычислительных сред

2.1.2. Автоматно-структурный метод

2.1.3. Концепция процесса обработки изображения на перестраиваемых вычислительных средах

2.1.4. Методика разработки алгоритмов цифровой обработки изображений для

выполнения на перестраиваемых вычислительных средах

2.2. Разработка алгоритмов обработки изображений, ориентированных на аппаратное выполнение на вычислителях параллельно-конвейерного типа

2.2.1. Обработка изображений пространственным фильтром

2.2.2. Определение местоположения регионов интереса на бинарном изображении

2.2.3. Вычисление признака ЬБР

2.2.4. Вычисление характеристик градиента каждого пикселя полутонового и бинарного изображений

2.3. Выводы

Глава 3. Имитационное моделирование перестраиваемых вычислительных сред для обработки изображений

3.1. Вводные понятия

3.2. Цель моделирования

3.3. Программная реализация моделей перестраиваемых вычислительных сред для обработки изображений

3.3.1. Имитационная модель элементарного вычислителя для обработки изображений пространственным фильтром

3.3.2. Имитационная модель элементарного вычислителя для алгоритма вычисления координат связных компонент бинарного изображения

3.3.3. Имитационная модель элементарного вычислителя для алгоритма вычисления кода ЬБР

3.3.4. Имитационная модель элементарного вычислителя для вычисления характеристик градиента пикселя полутонового изображения

3.3.5. Имитационная модель элементарного вычислителя для вычисления характеристик градиента пикселя бинарного изображения

3.4. Построение имитационных моделей перестраиваемых вычислительных сред для обработки изображений

3.4.1. Имитационная модель перестраиваемой вычислительной среды для обработки изображений пространственным фильтром

3.4.2. Имитационная модель перестраиваемой вычислительной среды для алгоритма вычисления координат связных компонент бинарного изображения

3.4.3. Имитационная модель перестраиваемой вычислительной среды для вычисления кода ЬБР

3.4.4. Имитационные модели перестраиваемой вычислительной среды для вычисления характеристик градиента каждого пикселя полутонового и бинарного изображений

3.5. Выводы

Глава 4. Реализация на программируемой логической интегральной схеме перестраиваемой вычислительной среды для обработки изображений

4.1. Вводные понятия

4.2. Используемое программное и аппаратное обеспечение

4.3. Реализация на ПЛИС перестраиваемой вычислительной среды для алгоритма вычисления координат связных компонент бинарного изображения

4.3.1. Описание структуры элементарного вычислителя

4.3.2. Описание структуры перестраиваемой вычислительной среды

4.4. Реализация на ПЛИС перестраиваемой вычислительной среды для алгоритма вычисления кода LBP

4.4.1. Описание структуры элементарного вычислителя

4.4.2. Описание структуры перестраиваемой вычислительной среды

4.5. Реализация на ПЛИС перестраиваемой вычислительной среды для алгоритма вычисления характеристик градиента каждого пикселя бинарного изображения

4.5.1. Описание структуры элементарного вычислителя

4.5.2. Описание структуры перестраиваемой вычислительной среды

4.6. Сравнение полученных результатов с результатами работы классического

алгоритма

4.7. Выводы

Заключение

Список сокращений и условных обозначений

Список литературы

Приложение А. Листинги модулей, описывающих работу ПВС

Приложение Б. RTL-схемы модулей, описывающих работу ПВС

Приложение В. Акты внедрения результатов работы

Рекомендованный список диссертаций по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Введение диссертации (часть автореферата) на тему «Обработка видеоданных на перестраиваемых вычислительных средах в самоорганизующихся сетях FANET»

Введение

Актуальность проблемы и степень её разработанности. Развитие беспилотных летательных аппаратов (БПЛА) и их повсеместное использование поднимает актуальную научную проблему. Данная проблема заключается в построении сетей связи для малогабаритных БПЛА, выполняющих задачи, в которых необходимо осуществлять обработку видеоданных в реальном времени. Одним из значительных недостатков малогабаритных беспилотных летательных аппаратов является малая величина перевозимой полезной нагрузки. Такие БПЛА ограничены в грузоподъёмности, вследствие чего накладываются ограничения на вес и количество устанавливаемых датчиков, вычислителей, батарей и т.д.

В связи с ограничениями, накладываемыми на батареи, устанавливаемые на БПЛА, также возникает проблема высокого энергопотребления. Существующие энергоэффективные технологии беспроводной связи дальнего радиуса действия имеют низкую скорость передачи данных, которая не подходит для задач передачи видеоданных для их обработки в реальном времени на удалённом устройстве. При этом обработка этих данных непосредственно на устройстве их регистрации, по причине вычислительной сложности используемых алгоритмов, приводит к необходимости применения дорогих, массивных вычислительных устройств, использование которых в составе малогабаритных БПЛА фактически невозможно по ряду экономических и технических причин.

Высокоскоростная обработка видеоданных на малогабаритном беспилотном летательном аппарате позволит обеспечить его автономность. Как пример, результаты такой обработки могут дать возможность БПЛА распознавать препятствия на кадрах с камеры и реагировать на них, прокладывая свой маршрут. Малогабаритные БПЛА также смогут распознавать на видеокадрах объекты интереса и передавать по сети связи необходимую информацию, в том числе и результаты обработки видеокадров. Таким образом, передача результатов обработки изображений, полученных от видеокамеры, вместо всего видеопотока уменьшит нагрузку на канал связи, позволяя передавать по этому каналу связи дополнительную информацию [91, 113, 118].

Для повышения быстродействия процессов обработки изображений в настоящее время всё больше исследований и разработок направлены на применение параллельных вычислительных систем вместо вычислителей последовательного действия. Как известно, максимальное быстродействие обеспечивают вычислительные системы с аппаратной реализацией алгоритма. Современное состояние исследований рассматриваемой научной проблематики заключается в тенденции построения реконфигурируемых многопроцессорных вычислителей для решения задач обработки, классификации и распознавания образов на изображении [27, 30, 33, 49, 62, 69, 74, 85, 92, 115, 130]. Суть этой тенденции заключается в том, что архитектура вычислительной системы адаптируется под структуру решаемой задачи.

На данный момент наиболее распространено использование гибридных вычислительных устройств на базе программируемой логической интегральной схемы (ПЛИС) и графического процессора [28, 32, 37, 59, 67, 70, 76, 86, 93, 109, 122]. В многочисленных научных трудах как зарубежных, так и отечественных учёных, а также в коммерческих работах таких компаний, как «Google», «NVidia» и пр., рассматриваются преимущества использования подобных вычислительных систем, при которых время обработки изображений может быть ускорено более чем в 200 раз. Однако для задач цифровой обработки изображений архитектуры данных вычислительных систем имеют существенные недостатки. К таким недостаткам относятся: отсутствие возможности изменять линии связи; отсутствие автоматической перестройки архитектуры для наиболее эффективного решения поставленных задач; сложность распараллеливания вычислительных процессов и др. В случае наличия малых вычислительных возможностей используемых систем описанные недостатки ещё более снижают эффективность таких архитектур [19, 29, 36, 38, 44, 51, 55, 75, 77, 90, 96, 98, 102, 103, 106, 124, 125, 136, 138-140]. По причине этого идёт разработка новых программно-алгоритмических обеспечений, а также поиск новых архитектурных решений, для получения высоких качественных показателей работы вычислителей при

обработке, сегментации и распознавания изображений [3, 23, 42, 43, 50, 57, 87, 94, 95, 99, 100, 107, 108, 116, 117, 120, 123, 129, 139, 141].

Исследованию общих принципов проектирования и построения самоорганизующихся сетей БПЛА, а также решению задачи маршрутизации посвящены работы, например, таких отечественных и зарубежных учёных, как

A.Е. Кучерявый, А.И. Парамонов, Р.В. Киричек, Д.С. Васильев, А.В. Абилов, M. Gerla, O.K. Sahingos, I. Bekmezci, S. Termel, S. Rosati, K. Kruzelecki, G. Heitz, D. Floreano, B. Rimoldi и др. Значительный вклад в области развития и применения вычислителей параллельно-конвейерного типа внесли следующие выдающиеся российские и зарубежные учёные: Э.В. Евреинов, А.В. Каляев, И.А. Каляев, И.В. Прангишвили, В.Г. Хорошевский, А.А. Шалыто,

B.И. Шмойлов, P. Balaji, R. Duncan, M.J. Flynn, T. Hoefler, S. Matsuoka, T. Sterling, J.L. Traff и др. В области цифровой обработки изображений большой вклад внесли российские и зарубежные учёные: Б.А. Алпатов, Ю.В. Визильтер, В.А. Сойфер, В.И. Сырямкин, В.С. Титов, Л.П. Ярославский, D.A. Forsyth, R.C. Gonzalez, W.K. Pratt, L.G. Shapiro.

Таким образом, для решения проблем построения сетей связи для малогабаритных БПЛА, выполняющих задачи по обработке видеоданных, необходимо улучшать существующие и развивать новые быстродействующие алгоритмы обработки изображений, предназначенные для реализации на специализированных вычислителях.

В диссертационной работе предлагается альтернативный подход к разработке специализированных, быстродействующих алгоритмов цифровой обработки изображений, аппаратно реализуемых на вычислителях с параллельно-конвейерной архитектурой, а именно на перестраиваемых вычислительных средах (ПВС), которые позволяют добиться высоких технико-экономических показателей благодаря своим уникальным архитектурным свойствам. При этом в качестве вычислительной архитектуры параллельно-конвейерного типа могут быть использованы такие вычислители, как ПЛИС, интегральная схема специального назначения или сверхбольшая интегральная схема.

Использование концепции процесса обработки изображений на перестраиваемых вычислительных средах, в основе которой лежат параллельная обработка и передача значений для всех пикселей изображения, позволит повысить быстродействие реализуемых алгоритмов с сохранением их функционального качества. При этом изменение архитектуры сети связи между малогабаритными БПЛА таким образом, при котором обработка видеоданных осуществляется непосредственно на БПЛА, позволяет снизить нагрузку на канал связи, а также использовать результаты обработки для автономного решения задач навигации, мониторинга и управления.

Объект исследования.

Объектом исследования диссертационной работы является система передачи данных в сети связи для малогабаритных БПЛА.

Предмет исследования.

Модели и алгоритмы, описывающие программные системы и процессы, предназначенные для обработки видеокадров на малогабаритных БПЛА, с целью формирования необходимых данных для передачи по сети связи.

Соответствие паспорту специальности.

Результаты исследования соответствуют научной специальности 2.2.15 «Системы, сети и устройства телекоммуникаций»:

Пункт 3. Исследование процессов представления, передачи, хранения и отображения аналоговой, цифровой, видео-, аудио-, голографической и мультимедиа информации; разработка и совершенствование соответствующих алгоритмов и процедур.

Пункт 11. Исследование проблем построения и планирования сетей для беспилотного транспорта, в том числе для беспилотных летательных аппаратов и беспилотных автомобилей, и разработка систем и устройств телекоммуникаций для этих сетей.

Цель работы и задачи исследования. Целью диссертационной работы является уменьшение нагрузки на канал связи в беспроводных сетях групп

малогабаритных БПЛА путём замены передаваемого видеопотока на результат его обработки.

Для достижения указанной цели были поставлены следующие задачи проводимого исследования:

1. Разработать аналитические модели процессов: обработки изображений пространственным фильтром, вычисления координат связных компонент бинарного изображения, вычисления признака локальных бинарных шаблонов, вычисления характеристик градиента каждого пикселя полутонового и бинарного изображений, - ориентированные на параллельно-конвейерное аппаратное исполнение.

2. Построить имитационные модели перестраиваемых вычислительных сред, реализующих вышеуказанные аналитические модели.

3. Провести экспериментальные исследования с целью проверки работоспособности разработанных аналитических моделей, а также оценки функциональных и временных характеристик.

Научная новизна.

1. Предложена аналитическая модель процесса представления и обработки цифровой видеоинформации пространственным фильтром с размером ядра 3x3, отличающаяся тем, что позволяет обрабатывать полутоновое изображение за 1 машинный такт, вне зависимости от размера изображения, на вычислительных архитектурах с массовым параллелизмом.

2. Предложена аналитическая модель вычисления координат связных компонент бинарного изображения, позволяющая повысить скорость обработки цифровой видеоинформации в 1.5 103 раз по сравнению с реализацией на СРи, а также её техническое решение для вычислительных архитектур с массовым параллелизмом.

3. Предложена аналитическая модель процесса вычисления признаков цифровой видеоинформации, базирующихся на локальных бинарных шаблонах и гистограмме направленных градиентов, отличающаяся тем, что позволяет извлекать распределённую карту признаков за 1 машинный такт, вне зависимости

от размера обрабатываемого изображения, на вычислительных архитектурах с массовым параллелизмом.

4. Предложен алгоритм классификации бинарных изображений, отличающийся использованием предложенных в работе детекторов признаков и позволяющий уменьшить нагрузку на каналы связи сетей для беспилотных летательных аппаратов и классифицировать бинарное изображение за 2 машинных такта, вне зависимости от размера обрабатываемого кадра цифровой видеоинформации, на вычислительных архитектурах с массовым параллелизмом.

Теоретическая и практическая ценность работы. Разработанные с помощью концепции процесса цифровой обработки изображений на перестраиваемых вычислительных средах алгоритмы открывают перспективу разработки междисциплинарных методов и основ создания новых устройств получения, обработки и анализа цифровых изображений.

Реализация и внедрение результатов. Диссертационные исследования выполнены в рамках: гранта РФФИ № 19-29-06078 мк «Разработка и исследование реконфигурируемых быстродействующих алгоритмов распознавания изображений для оценки дорожной ситуации на базе специализированных мобильных устройств с параллельно-конвейерной архитектурой»; гранта Минобрнауки России КБМЕЕ157817Х0241 «Разработка системы автономного интеллектуального функционирования беспилотным летательным аппаратом на базе реконфигурируемых алгоритмов управления, навигации и обработки информации и создание на её основе аппаратно-программного комплекса защиты от малогабаритных летательных аппаратов»; программы развития Томского государственного университета (Приоритет-2030) № 2.4.3.22 МЛ «Исследование и разработка математических моделей передачи данных сети БАМЕТ в группе беспилотных летательных аппаратов».

Значительная часть результатов, изложенных в работе, получена в рамках выполнения гранта № 19-37-90110 Аспиранты «Система технического зрения автономных подвижных роботизированных объектов» при финансовой поддержке РФФИ.

Методика исследований. При проведении диссертационных исследований были использованы методы теории вычислительных систем, теории булевой алгебры, теории цифровой обработки и анализа изображений. Экспериментальные исследования выполнялись на реальных и тестовых изображениях с использованием вычислительных устройств и методов имитационного (компьютерного) моделирования.

Положения, выносимые на защиту:

1. Аналитическая модель процесса представления и обработки цифровой видеоинформации пространственным фильтром с размером ядра 3x3, отличающаяся тем, что позволяет обрабатывать полутоновое изображение за 1 машинный такт, вне зависимости от размера изображения, на вычислительных архитектурах с массовым параллелизмом.

2. Аналитическая модель вычисления координат связных компонент бинарного изображения, позволяющая повысить скорость обработки цифровой видеоинформации в 1.5 103 раз по сравнению с реализацией на СРи, а также её техническое решение для вычислительных архитектур с массовым параллелизмом.

3. Аналитическая модель процесса вычисления признаков цифровой видеоинформации, базирующихся на локальных бинарных шаблонах и гистограмме направленных градиентов, отличающаяся тем, что позволяет извлекать распределённую карту признаков за 1 машинный такт, вне зависимости от размера обрабатываемого изображения, на вычислительных архитектурах с массовым параллелизмом.

4. Алгоритм классификации бинарных изображений, отличающийся использованием предложенных в работе детекторов признаков и позволяющий уменьшить нагрузку на каналы связи сетей для беспилотных летательных аппаратов и классифицировать бинарное изображение за 2 машинных такта, вне зависимости от размера обрабатываемого кадра цифровой видеоинформации, на вычислительных архитектурах с массовым параллелизмом.

Степень достоверности и апробация результатов. Достоверность полученных результатов подтверждается математически корректными выводами, осуществлёнными экспериментальными исследованиями с применением имитационного моделирования и вычислительных устройств, а также согласованностью полученных результатов с имеющимися данными в отечественной и зарубежной литературе. Основные результаты исследования были представлены на международных конференциях.

Апробация работы. Основные результаты работы докладывались и обсуждались на научных конференциях, а именно:

1. Участие в конференции Международный форум «Интеллектуальные системы 4-й промышленной революции», г. Томск, 22-25 ноября 2017 г., с докладом «Система технического зрения беспилотных транспортных средств».

2. Участие в конференции Международный форум «Интеллектуальные системы 4-й промышленной революции», г. Томск, 22-25 ноября 2017 г., с докладом «Система технического зрения автономных беспилотных летательных аппаратов».

3. Участие в конференции XIV Международной школы-конференции студентов, аспирантов и молодых учёных Инноватика 2018, г. Томск, 26-27 апреля 2018 г., с докладом «Позиционирование объекта относительно камеры в системах технического зрения».

4. Участие в конференции XV Международной школы-конференции студентов, аспирантов и молодых учёных Инноватика 2019, г. Томск, 25-27 апреля 2019 г., с докладом «Испытательный стенд для экспериментальных исследований конструкции беспилотного летательного аппарата с функцией захвата движущейся цели».

5. Участие в конференции XVI Международной школы-конференции студентов, аспирантов и молодых учёных Инноватика 2020, г. Томск, 23-25 апреля 2020 г., с докладом «Моделирование процесса обработки изображения на вычислителях параллельно-конвейерного типа».

6. Участие в конференции XVI Международной школы-конференции студентов, аспирантов и молодых учёных Инноватика 2020, г. Томск, 23-25 апреля 2020 г., с докладом «HOG-дескриптор как элемент перестраиваемой вычислительной среды».

7. Участие в конференции III Международный форум «Интеллектуальные системы 4-й промышленной революции», г. Томск, 26-27 ноября 2019 г., с докладом «Передача изображений через сетевые потоки между NI CVS-1458RT и камерами NI ISC-1783».

8. Участие в конференции XVII Международной школы-конференции студентов, аспирантов и молодых учёных Инноватика 2021, г. Томск, 22-23 апреля 2021 г., с докладом «Использование перестраиваемой вычислительной среды для классификации бинарного изображения».

9. Участие в конференции XVII Международной школы-конференции студентов, аспирантов и молодых учёных Инноватика 2021, г. Томск, 22-23 апреля 2021 г., с докладом «Вычисление и использование характеристик бинарного изображения».

10. Участие в конференции IV Международный форум «Интеллектуальные системы 4-й промышленной революции», г. Томск, 15-16 декабря 2021 г., с докладом «Синтез модели перестраиваемой вычислительной среды для обработки изображений оператором Щарра».

11. Участие в конференции «Распределённые компьютерные и телекоммуникационные сети: управление, вычисление, связь, информационные технологии и математическое моделирование». 24rd International Conference on Distributed Computer and Communication Networks (DCCN 2021), г. Москва,

20-24 сентября 2021 г., с докладом «Binary gradient computation and implementation in reconfigurable computing environments».

12. Участие в конференции XVIII Международной школы-конференции студентов, аспирантов и молодых учёных Инноватика 2022, г. Томск,

21-22 апреля 2022 г., с докладом «Использование перестраиваемой

вычислительной среды для обработки изображений пространственным фильтром выделения границ».

Публикации. По теме диссертации опубликовано 18 работ, из них 3 статьи в российских журналах из перечня ВАК, переводная версия которых индексируется Scopus, 3 статьи в журналах, реферируемых в базе данных Scopus, 1 патент РФ на полезную модель и 3 свидетельства о государственной регистрации программы для ЭВМ.

Структура и объём. Диссертационная работа состоит из введения, четырёх глав, заключения, списка сокращений, списка литературы из 142 наименований и трёх приложений. Диссертационная работа изложена на 165 страницах, содержит 86 рисунков и 2 таблицы. В приложениях приведены листинги и RTL-схемы модулей, описывающих работу ПВС, а также акты внедрения результатов диссертационной работы, подтверждающие её практическую ценность.

Глава 1. Анализ состояния проблемы построения сетей связи для малогабаритных БПЛА, выполняющих задачи обработки видеоданных

1.1. Свойства и характеристики беспроводной одноранговой сети

Беспроводные одноранговые сети (Wireless Ad-Hoc Network, WANET) представляют собой сеть, не имеющую постоянной структуры, в которой каждый узел сети наделён одинаковыми функциями. В отличие от традиционных беспроводных сетей, WANET является самоорганизующейся сетью и не требует стационарной инфраструктуры или централизованного управления. Топология сети может измениться по таким причинам, как мобильность узлов, выход узлов из строя и прекращение их работы или же по причине потери связи с узлом, удалившимся на критическое расстояние. В WANET все мобильные узлы, как правило, имеют автономное питание и, в зависимости от быстрых и непредсказуемых изменений топологии сети, способны как получать, так и отправлять необходимые данные или же выступать в роли маршрутизатора [134].

Устройства, представляющие собой мобильные узлы, способны общаться друг с другом даже при отсутствии отдельной базовой станции или точки доступа. Однако в случае, если возникнет необходимость подключения WANET к другой сети, то один из узлов одноранговой сети может наделяться правами базовой станции или некоторого координатора этой сети. Узлы одноранговой сети имеют ограниченную мощность передатчика, из-за чего их «радиовидимость» также ограничена. WANET имеет следующие неотъемлемые характеристики [134]:

1) наличие одноранговой структуры и децентрализованного управления;

2) наличие непредсказуемо и динамически меняющейся топологии;

3) наличие функции маршрутизации у всех узлов;

4) самоорганизация сети (через ретрансляцию);

5) различные ограничивающие факторы в сети в виде дальности радиовидимости или ёмкости батарей устройств;

6) большая потеря данных.

Существует несколько основных одноранговых сетей:

1) мобильная одноранговая сеть (MANET - mobile ad hoc network);

2) автомобильная сеть (VANET - vehicular ad hoc network);

3) такая одноранговая сеть, приёмопередатчики которой находятся на воздушных платформах (FANET - Flying Ad Hoc Network).

Таким образом, WANET особенно подходит для аварийных и спасательных ситуаций, когда стационарная инфраструктура недоступна, например при спасении при землетрясении или пожаре.

Беспилотные летательные аппараты (БПЛА) применяются в различных областях, таких, как наблюдение, сельское хозяйство, здравоохранение, управление дорожным движением, инспекции и общественная безопасность [118]. Более того, несколько БПЛА могут быть эффективно объединены для выполнения поставленных задач автономными способами [91]. Таким образом, переход от одного БПЛА к группе БПЛА приводит к появлению нового типа сетей под названием Flying Ad Hoc Network (FANET) [113]. Взаимосвязанные БПЛА могут обмениваться данными друг с другом и с базовыми станциями (BS) в системе FANET (рисунок 1.1) [134].

Рисунок 1.1 - Архитектура сети FANET

FANET обладают расширенными функциями, такими, как высокая мобильность, быстрое развёртывание, самонастройка, низкая стоимость, масштабируемость и другие.

Выбор соответствующих технологий беспроводной связи для FANET зависит от типа приложения и характера выполняемой миссии. Нелицензированные беспроводные технологии, такие, как Wi-Fi (IEEE 802.11), ZigBee (IEEE 802.15.4) и Bluetooth (IEEE 802.15.1), широко используются для быстрого развёртывания и приложений малого и среднего масштаба [105, 111]. Лицензированные беспроводные технологии, такие, как 5G / 6G, с другой стороны, используются для удовлетворения требований масштабного широкополосного доступа, высокой мобильности устройств и интеграции огромного количества БПЛА сверхнадёжным способом [142].

Однако ZigBee, Bluetooth и Wi-Fi являются радиотехнологиями ближнего действия и не подходят для сценариев передачи на большие расстояния. 2G, 3G, 4G и другие решения на основе сотовой связи могут обеспечить более широкое покрытие, но требуют поддержки базовых станций (БС); следовательно, они не подходят для системы экстренной связи в сценариях без инфраструктуры. Кроме того, они потребляют избыточную энергию устройства и увеличивают эксплуатационные расходы [112]. Для решения этих проблем появилась глобальная сеть малой мощности (LPWAN) [113], в которой LoRa является одной из наиболее перспективных технологий [73, 91, 113, 118]. Глобальные сети с низким энергопотреблением (LPWAN) позволяют устройствам обмениваться данными на расстояниях в несколько километров с низкими затратами энергии, но за счёт низкой скорости передачи данных (рисунок 1.2).

LoRa — это метод радиомодуляции на физическом уровне, запатентованный Semtech, который поддерживает низкую скорость передачи данных и связь на большие расстояния, а также обеспечивает надёжную связь при движении на высокой скорости благодаря высокой помехоустойчивости. Более того, LoRa не зависит от оператора и работает в нелицензируемой полосе частот, а это значит, что пользователи могут свободно организовывать сеть. LoRaWAN

имеет потенциал для работы в диапазоне ISM (868 и 900 МГц) со скоростью передачи данных от 0,3 до 50 кбит /си покрытием сети от 5 до 15 км [82, 131, 133].

Рисунок 1.2 - Сравнение различных используемых беспроводных технологий

Таким образом, выбор между использованием таких беспроводных технологий, как Bluetooth, ZigBee, Wi-Fi и LoRaWAN зависит от необходимого рабочего диапазона связи и требований к пропускной способности [132]. Использование 4G или 5G может быть наиболее подходящим выбором для больших зон покрытия, а также при высоких требованиях к пропускной способности. Однако эти технологии более энергозатратные (рисунок 1.3) и требуют существующей телекоммуникационной инфраструктуры.

Стоимость

Рисунок 1.3 - Сравнение энергоэффективности со стоимостью подключения различных

технологий беспроводной связи [118]

Для служб реального времени, таких, как приложения двустороннего управления, рекомендуется использовать технологии LPWA со скоростью передачи данных от 28,8 кбит/с. Наиболее распространёнными цифровыми видеоформатами для 1Р-видеосистем являются MJPEG, MPEG-4 и Н.264. Битрейт, рекомендуемый для 1Р-камер наблюдения, варьируется от 130 кбит/с, при низком качестве кодирования MJPEG, до 4 Мбит/с для разрешения 1920x1080 и 30 кадров в секунду, при MPEG-4/H.264 кодировании. Учитывая, что скорость передачи данных LoRaWAN находится в диапазоне от 0,3 до 50 кбит/с на канал, LoRaWAN не является подходящей технологией для поддержки приложений передачи видеоданных [73]. Таким образом, вместо передачи видеоданных LoRaWAN можно использовать для передачи результатов их обработки.

1.2. Цифровая обработка изображений

1.2.1. Основные понятия цифровой обработки изображений

Из пяти органов чувств человека наибольшую информацию об окружающем мире мы получаем, используя зрение, с помощью которого можем воспринимать электромагнитное излучение, но только в видимом диапазоне. В то же время датчики, представляющие собой приёмники излучения, способны улавливать электромагнитный спектр от гамма-излучения до радиоволн, преобразовывая его в виде цифрового изображения. Таким образом, появилась необходимость в цифровой обработке изображений во многих различных сферах деятельности человека.

Под цифровой обработкой изображений (ЦОИ) подразумевается обработка цифровых изображений с помощью электронно-вычислительных машин (компьютеров). ЦОИ также используется в техническом зрении, предназначенном для имитации зрения человека с помощью компьютера, для формирования выводов и сигналов на основе поступающей в виде изображений (кадров) информации [20, 40, 72]. Машинным (техническим) зрением называют технологии и методы в области искусственного интеллекта, используемые для автономного решения разного рода прикладных задач на основе получения и обработки изображений, а также использования полученных данных в таких приложениях, как автоматический контроль, управление процессами и управление роботами [20, 27, 39, 50].

Похожие диссертационные работы по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Список литературы диссертационного исследования кандидат наук Бондарчук Антон Сергеевич, 2023 год

Список литературы

1. Анисимов, Б.В. Распознавание и цифровая обработка изображений: Учеб. пособие для студентов вузов / Б.В. Анисимов, В.Д. Курганов, В.К. Злобин. -М.: Высшая школа, 1983. - 295 с.

2. Аносов, В.Л. Учебное пособие по курсу «Имитационное моделирование» для студентов специальностей 7.050102 «Экономическая кибернетика» и 7.080404 «Интеллектуальные системы принятия решений» / В.Л. Аносов, В.Н. Черномаз. - Краматорск: ДГМА, 2007. - 156 с.

3. Бертяков, В.А. Алгоритмы обработки и распознавания символьной информации и их реализация на конвейерных структурах: дис. ... канд. техн. наук / В.А. Бертяков. - СПб., 1997. - 23 с.

4. Бондарчук А.С., Шашев Д.В., Шидловский С.В. Синтез модели перестраиваемой вычислительной среды для определения характеристик градиента изображения // Автометрия. - 2021. - № 2. - С. 22-31. - DOI: 10.15372/АЦТ20210203.

5. Бондарчук, А.С. Система технического зрения беспилотных транспортных средств // Интеллектуальные системы 4-й промышленной революции : сб. материалов Международного форума, г. Томск, 22-25 ноября 2017 г. / под ред. В.И. Сырямкина. - Томск: ЗТТ, 2017. - 162 с.

6. Бондарчук, А.С. Система технического зрения автономных беспилотных летательных аппаратов // Интеллектуальные системы 4-й промышленной революции : сб. материалов Международного форума, г. Томск, 22-25 ноября 2017 г. / под ред. В.И. Сырямкина. - Томск: STT, 2017. - 162 с.

7. Бондарчук, А.С. Позиционирование объекта относительно камеры в системах технического зрения // Инноватика-2018: сб. материалов XIV Международной школы-конференции студентов, аспирантов и молодых учёных, Томск, 26-27 апреля 2018 г. - Томск, 2018.

8. Бондарчук, А.С. Испытательный стенд для экспериментальных исследований конструкции беспилотного летательного аппарата с функцией

захвата движущейся цели // Инноватика-2019: сб. материалов XV Международной школы-конференции студентов, аспирантов и молодых ученых, Томск, 25-27 апреля 2019 г. - Томск, 2019.

9. Бондарчук, А.С. Передача изображений через сетевые потоки между NI CVS 1458RT и камерами NI ISC 1783 // Интеллектуальные системы 4-й промышленной революции : сб. материалов III Международного форума, г. Томск, 26-27 ноября 2019 г. / под ред. В.И. Сырямкина. - Томск: STT, 2020. - 162 с.

10. Бондарчук, А.С., Шашев, Д.В. HOG-дескриптор как элемент перестраиваемой вычислительной среды // Инноватика-2020: сб. материалов XVI Международной школы-конференции студентов, аспирантов и молодых ученых, Томск, 23-25 апреля 2020 г. - Томск, 2020.

11. Бондарчук, А.С. Моделирование процесса обработки изображения на вычислителях параллельно-конвейерного типа // Инноватика-2020: сб. материалов XVI Международной школы-конференции студентов, аспирантов и молодых ученых, Томск, 23-25 апреля 2020 г. - Томск, 2020.

12. Бондарчук, А.С. Использование перестраиваемой вычислительной среды для классификации бинарного изображения / А.С. Бондарчук, Д.В. Шашев // Инноватика-2021 : сб. материалов XVII Международной школы-конференции студентов, аспирантов и молодых ученых, Томск, 22-23 апреля 2021 г. - Томск: Общество с ограниченной ответственностью «СТТ», 2021. - С. 109-112.

13. Бондарчук, А.С. Вычисление и использование характеристик бинарного изображения / А.С. Бондарчук // Инноватика-2021 : сборник материалов XVII Международной школы-конференции студентов, аспирантов и молодых ученых, Томск, 22-23 апреля 2021 г. - Томск: Общество с ограниченной ответственностью «СТТ», 2021. - С. 113-115.

14. Бондарчук, А.С. Синтез модели перестраиваемой вычислительной среды для обработки изображений оператором Щарра / А.С. Бондарчук, Д.В. Шашев // Интеллектуальные системы 4-й промышленной революции : сб. материалов IV Международного форума, Томск, 15-16 декабря 2021 г. - Томск:

Общество с ограниченной ответственностью «СТТ», 2022. - С. 17-18.

15. Бондарчук, А.С. Использование перестраиваемой вычислительной среды для обработки изображений пространственным фильтром выделения границ // Инноватика-2022: сб. материалов XVIII Международной школы-конференции студентов, аспирантов и молодых ученых, Томск, 21-22 апреля 2022 г. - Томск: Общество с ограниченной ответственностью «СТТ», 2022.

- С. 179-182.

16. Бондарчук, А.С. Имитационная модель перестраиваемой вычислительной среды для обработки изображений пространственным фильтром выделения границ / А.С. Бондарчук, Д.В. Шашев, С.В. Шидловский // Телекоммуникации. - 2022. - № 4. - С. 10-22. - DOI 10.31044/1684-2588-2022-0-410-22.

17. Бондарчук, А.С. Имитационная модель перестраиваемой вычислительной среды для вычисления кода LBP / А.С. Бондарчук, Д.В. Шашев, С.В. Шидловский // Телекоммуникации. - 2022. - № 5. - С. 8-15. - DOI 10.31044/1684-2588-2022-0-5-8-15.

18. Визильтер, Ю.В. Обработка и анализ изображений в задачах машинного зрения: Курс лекций и практических занятий / Ю.В. Визильтер [и др.].

- М.: Физматкнига, 2010. - 672 с.

19. Воеводин, В.В. Параллельные вычисления / В.В. Воеводин, Вл.В. Воеводин. - СПб.: БХВ-Петербург, 2002. - 608 с.

20. Гонсалес, Р. Цифровая обработка изображений / Р. Гонсалес, Р. Вудс; пер. с англ. под ред. П.А. Чочиа. - М.: Техносфера, 2005. - 1072 с.

21. Гричук Е.С., Кузьмина М.Г., Маныкин Э.А., Модель осцилляторной сети с управляемой синхронизацией и нейроморфный динамический метод обработки информации // Матем. Моделирование. - 2017. - Т. 29. - № 1.

- С. 95-108.

22. Гультяев, А. Визуальное моделирование в среде МАТЬАВ: учебный курс / А. Гультяев. - СПб.: Питер, 2000. - 432 с.

23. Евреинов, Э.В. Однородные вычислительные системы /

Э.В. Евреинов, В.Г. Хорошевский. - Новосибирск: Наука, 1978. - 320 с.

24. Евреинов, Э.В. Однородные вычислительные системы, структуры и среды / Э.В. Евреинов. - М.: Радио и связь, 1981. - 208 с.

25. Евреинов, Э.В. Однородные универсальные вычислительные системы высокой производительности / Э.В. Евреинов, Ю.Г. Косарев. - Новосибирск: Наука, 1966. - 308 с. 121

26. Евреинов, Э.В. Цифровые автоматы с настраиваемой структурой / Э.В. Евреинов, И.В. Прангишвили. - М.: Энергия, 1974. - 240 с.

27. Зленко, М.А. Аддитивные технологии в машиностроении / М.А. Зленко, М.В. Нагайцев, В.М. Довбыш. - М.: ГНЦ РФ ФГУП «НАМИ», 2015.

- 220 с.

28. Каляев, А.В. Многопроцессорные системы с программируемой структурой / А.В. Каляев. - М.: Радио и связь, 1984. - 240 с.

29. Каляев, И.А. Перспективные высокопроизводительные реконфигурируемые вычислительные системы на основе ПЛИС VIRTEX-6 и VIRTEX-7 / И.А. Каляев [и др.] // Труды VI Международной конференции «Параллельные вычисления и задачи управления» (PAC0'2012). - М., 2012.

- С. 242-253.

30. Каляев, И.А. Применение высокопроизводительных реконфигурируемых вычислительных систем для цифровой обработки сигналов / И.А. Каляев, И.И. Левин, Е.А. Семерников // Труды Российского научно-технического общества радиотехники, электроники и связи имени А.С. Попова. Сборник докладов 14-й Международной конференции «Цифровая обработка сигналов и её применение DSPA-2012». - М., 2012. - С. 377-381.

31. Кочерга, М.С. Построение реконфигурируемых вычислительных систем на однородных вычислительных средах / М.С. Кочерга, В.И. Шмойлов // Вестник Южного научного центра РАН. - 2008. - Т. 4. - № 2. - С. 18-26.

32. Краснобаев, А.А. Метод декомпозиции алгоритмов систем технического зрения на параллельно-конвейерное программно-аппаратное исполнение в архитектуре ПЛИС-ЦСП: дис. ... канд. физ.-мат. наук /

А. А. Краснобаев. - М., 2008. - 24 с.

33. Краснящих, А.В. Обработка оптических изображений. - СПб: НИУ ИТМО, 2013. - 131 с.

34. Кудрявцев, В.Б. Введение в теорию автоматов / В.Б. Кудрявцев, С.В. Алешин, А.С. Подколзин. - М.: Наука, 1985. - 320 с.122

35. Лазарев, В.Г., Пийль Е.И., Турута Е.Н. Построение программируемых управляющих устройств / В.Г. Лазарев, Е.И. Пийль, Е.Н. Турута. - М.: Энергоатомиздат, 1984. - 192 с.

36. Лукин, Н.А. Бортовые функционально-ориентированные процессоры на основе однородных вычислительных сред для мобильных систем реального времени / Н.А. Лукин // Фундаментальные исследования. - 2015. - № 12 (часть 5). - С. 924-929.

37. Лукин, Н.А. Функционально-ориентированные процессоры -ключевые компоненты встроенных суперкомпьютеров для систем реального времени / Н.А. Лукин // Известия ЮФУ. Технические науки. - 2014. - Т. 161. -№ 12. - С. 52-66.

38. Лукин, Н.А. Реконфигурируемые процессорные массивы для систем реального времени: архитектуры, эффективность, области применения / Н.А. Лукин // Известия ЮФУ. Технические науки. - 2004. - Т. 44. - № 9. -С. 36-46.

39. Методы компьютерной обработки изображений / под ред. А.В. Сойфера. - Изд. 2-е, испр. - М.: ФИЗМАТЛИТ, 2003. - 784 с.

40. Нестеров, А.В. Анализ методов цифровой обработки информации в системах компьютерного зрения и обзор областей применения данных систем / А.В. Нестеров // Вестник РГРТУ. - 2008. - № 4. - С. 1-3.

41. Огнев, И.В. Обработка изображений методами математической морфологии в ассоциативной осцилляторной среде / И.В. Огнев, Н.А. Сидорова // Известия высших учебных заведений. Поволжский регион. Технические науки. -2007. - № 4. - С. 87-97.

42. Осинин, И.П. Организация параллельно-конвейерной СБИС-

структуры с реконфигурируемой микроядерной архитектурой / И.П. Осинин, В.С. Князьков // Известия высших учебных заведений. Поволжский регион. Технические науки. -2013. - № 3. - С. 75-84.

43. Павский, К.В. Методы расчёта показателей и анализ эффективности функционирования большемасштабных распределённых вычислительных систем: дис. ... докт. техн. наук / К.В. Павский. - Новосибирск, 2013. - 36 с.

44. Палагин, А.В. Реконфигурируемые вычислительные системы / А.В. Палагин, В.Н. Опанасенко. - Киев: Просвгга, 2006. - 288 с.

45. Патент на полезную модель 202071 U1 Российская Федерация, МПК G06F 7/58 (2006.01). Ячейка однородной среды / Шашев Дмитрий Вадимович (RU), Шидловский Станислав Викторович (RU), Бондарчук Антон Сергеевич (RU); патентообладатель Федеральное государственное автономное образовательное учреждение высшего образования «Национальный исследовательский Томский государственный университет» (RU). -№ 2020132931; заявл. 07.10.2020; опубл. 28.01.2021, Бюл. № 4

46. Петрук В.И., Самородов А.В., Спиридонов И.Н. Применение локальных бинарных шаблонов к решению задачи распознавания лиц. Вестник Московского государственного технического университета им. Н.Э. Баумана. Серия: Приборостроение. 2011. № S. С. 58-63.

47. Петухов, О.А. Моделирование: системное, имитационное, аналитическое: учеб. пособие / О.А. Петухов, А.В. Морозов, Е.О. Петухова. - 2-е изд., испр. и доп. - СПб.: Изд-во СЗТУ, 2008. - 288 с.

48. Поспелов, Д.А. Логические методы анализа и синтеза схем / Д.А. Поспелов. - М.: Энергия, 1964. - 320 с.

49. Постоев, А.И. Высокоскоростной видеорегистратор с использованием «системы на кристалле» на основе ПЛИС архитектуры FPGA / А.И. Постоев, А.А. Соловьев, В.И. Иордан // Доклады ТУСУРа. - 2011. - № 2. - С. 147-150.

50. Потапов, А. Системы компьютерного зрения: современные задачи и методы / А. Потапов // Control Engineering. - 2014. - T. 49. - № 1. - С. 20-26.

51. Прангишвили, И.В. Микроэлектроника и однородные структуры для

построения логических и вычислительных устройств / И.В. Прангишлвили [и др.].

- М.: Наука, 1967. - С.124

52. Пупырев, Е.И. Перестраиваемые автоматы и микропроцессорные системы / Е.И. Пупырев. - М.: Наука, 1984. - 192 с.

53. Реконфигурируемые мультиконвейерные вычислительные структуры / И.А. Каляев [и др.]. - Ростов н/Д: Изд-во ЮНЦ РАН, 2008. - 320 с.

54. Русин, Б.П. Реконфигурируемые высокопроизводительные системы на однородных средах / Б.П. Русин, М.Н. Кузьо, В.И. Шмойлов // Автоматика и вычислительная техника. - 2000. - № 3. - С. 72-81.

55. СБИС для распознавания образов и обработки изображений / под ред. К. Фу; пер. с англ. В.С. Дубровина, М.И. Кумскова. - М.: Мир, 1988. - 248 с.

56. Сидорова, Н.А. Распознавание изображений в ассоциативной осцилляторной среде: дис. ... канд. техн. наук / Н.А. Сидорова. - М., 2010. - 20 с.

57. Хорошевский, В.Г. Архитектура вычислительных систем /

B.Г. Хорошевский. - 2-е изд., перераб. и доп. - М.: МГТУ им. Н.Э. Баумана, 2008.

- С.125

58. Хорошевский, В.Г. Распределенные вычислительные системы с программируемой структурой / В.Г. Хорошевский // Вестник СибГУТИ. - 2010. -№ 2. - С. 3-41.

59. Чудинов, С.М. О применении ПЛИС архитектуры FPGA в задачах субполосной обработки изображений / С.М. Чудинов, А.Н. Заливин // Научные ведомости. - 2013. - № 7. - С. 188-193.

60. Шашев, Д.В. Бинарный градиент и его вычисление с помощью концепции перестраиваемых вычислительных сред / Д.В. Шашев,

C.В. Шидловский, М.В. Окунский // Телекоммуникации. - 2021. - № 2. - С. 35-48.

61. Шашев, Д.В. Алгоритмы динамически перестраиваемых вычислительных сред для обработки изображений: дис. ... канд. техн. наук / Д.В. Шашев. - Томск, 2016. - 136 с.

62. Шашев, Д.В. Специализированные быстродействующие архитектуры нейронных сетей //Интеллектуальные системы 4-й промышленной революции: сб.

материалов III Международного форума, г. Томск, 26-27 ноября 2019 г. - Томск: STT, 2020. - С. 120-121.

63. Шашев, Д.В. Построение реконфигурируемых систем автоматического управления и переработки информации в автономных подвижных роботах / Д.В. Шашев, С.В. Шидловский // Телекоммуникации. -2016. - № 2. - С. 33 - 38.

64. Шашев, Д.В. Перестраиваемая вычислительная среда для обработки изображений / Д.В. Шашев, А.С. Бондарчук, С.В. Шидловский // XIV Всероссийская мультиконференция по проблемам управления МКПУ-2021 : материалы XIV мультиконференции : в 4 т., Дивноморское, Геленджик, 27 сентября - 02.2021 года. - Ростов н/Д: Южный федеральный университет, 2021. - С. 133-136.

65. Шидловский, С.В. Автоматическое управление. Перестраиваемые структуры / С.В. Шидловский. - Томск: Изд-во Том. ун-та, 2006. - 288 с.

66. Шидловский, С.В. Автоматическое управление. Реконфигурируемые системы: учеб. пособие / С.В. Шидловский. - Томск: Изд-во Том. ун-та, 2010. -168 с.

67. Шидловский, С.В. Структурно-перестраиваемые алгоритмы управления мехатронной системой цифрового рентгеновского микротомографа / С.В. Шидловский, Д.В. Шашев // Полифункциональные химические материалы и технологии: материалы Всероссийской с международным участием научной конференции. - Томск, 2013. - С. 248-249.

68. Шмойлов, В.И. Архитектура однородных вычислительных сред / В.И. Шмойлов. - Львов: НТЦ «Интеграл», 1993. - 289 с.

69. Шмойлов, В.И. Пульсирующие информационные решетки с матричной коммутацией / В.И. Шмойлов, В.О. Бронзов // Искусственный интеллект. - 2008. -№ 3. - С. 790-799.

70. Яковлев, Ю.С. Особенности архитектуры и структуры реконфигурируемых графических ускорителей / Ю.С. Яковлев // ^формацшш

71. Яне, Б. Цифровая обработка изображений / Б. Яне: пер. с англ.

А.М. Измайловой. - М.: Техносфера, 2007. - 584 с.

72. Ярославский, Л.П. Введение в цифровую обработку изображений / Л.П. Ярославский. - М.: Сов. радио, 1979. - 312 с.

73. Adelantado, Ferran & Vilajosana, Xavier & Tuset-Peiro, Pere & Martinez, Borja & Melia-Segui, Joan & Watteyne, Thomas. (2017). Understanding the limits of LoRaWAN. IEEE Communications Magazine. 55. 10.1109/MC0M.2017.1600613.

74. Aizawa, K. Image processing technologies. Algorithms, sensors and applications / K. Aizawa, K. Sakaue, Y. Suenaga. - NY: Marcel Dekker, 2004. - 282 p.

75. Angulo K., Gil D., Espitia H. (2020) Method for Edges Detection in Digital Images Through the Use of Cellular Automata. Advances and Applications in Computer Science, Electronics and Industrial Engineering. CSEI 2019., vol 1078. https://doi.org/10.1007/978-3-030-33614-1_1

76. Bailey DG. Image Processing Using FPGAs. Journal of Imaging. 2019; 5(5):53. https://doi.org/10.3390/jimaging5050053.

77. Bondalapati, K. Reconfigurable computing: architectures, models and algorithms / K. Bondalapati, V.K. Prasanna // Current science. - 2000. - Vol. 78, № 7. -P. 828-837.

78. Bondarchuk, A.S. System of technical vision for autonomous unmanned aerial vehicles // IOP Conference Series: Materials Science and Engineering. - 2018. -Vol. 363:012027. - DOI: 10.1088/1757-899X/363/1/012027.

79. Bondarchuk, A.S. Reducing dimensions of the histogram of oriented gradients (HOG) feature vector / A. S. Bondarchuk, S.V. Shidlovskiy, S. Poslavsky, M.V. Shikhman // Journal of Physics: Conference Series. - 2020. - Vol. 1611:012072. -DOI: 10.1088/1742-6596/1611/1/012072.

80. Bondarchuk, A.S. Design of a Model of a Reconfigurable Computing Environment for Determining Image Gradient Characteristics / A.S. Bondarchuk, D.V. Shashev, S.V. Shidlovskiy // Optoelectronics, Instrumentation and Data Processing. - 2021. - Vol. 57. - No 2. - P. 132-140. - DOI 10.3103/S8756699021020047.

81. Bondarchuk, A.S. Algorithm for Calculating and Using the Characteristics

of a Binary Image Intended for Implementation on RCE / A.S. Bondarchuk, D.V. Shashev, S.V. Shidlovskiy // Communications in Computer and Information Science (см. в книгах). - 2022. - Vol. 1552 CCIS. - P. 408-419. - DOI 10.1007/978-3-030-97110-6_32.

82. Casals, L.; Mir, B.; Vidal, R.; Gomez, C. Modeling the Energy Performance of LoRaWAN. Sensors 2017, 17, 2364. https://doi.org/10.3390/s17102364

83. Crookes, D. Architectures for high performance image processing: the future / D. Crookes // Journal of systems architecture. - 1999. - Vol. 45. - P. 739-748.

84. Dalal N., Triggs B. Histograms of oriented gradients for human detection // Proceedings of IEEE Computer Society Conference on Computer Vision and Pattern Recognition. San Diego, USA: IEEE, 2005. P. 886-893.

85. Diamantaras, K.I. A linear systolic array for real-time morphological image processing / K.I. Diamantaras, S.Y. Kung // Journal of VLSI Signal Processing. - 1997. - Vol. 17, I. 1. - P. 43-55.129

86. Dio§an L., Andreica A., Boros I., Voiculescu I. (2017) Avenues for the Use of Cellular Automata in Image Segmentation. In: Squillero G., Sim K. (eds) Applications of Evolutionary Computation. EvoApplications 2017. Lecture Notes in Computer Science, vol 10199. Springer, Cham. https://doi.org/10.1007/978-3-319-55849-3_19

87. Deepak R., Prashanta K. and Amitav M. A Survey on Two Dimensional Cellular Automata and Its Application in Image Processing. IJCA Proceedings on International Conference on Emergent Trends in Computing and Communication (ETCC-2014) ETCC(1):78-87, September 2014.

88. Duncan, R. A survey of parallel computer architectures / R. Duncan // Computer. - 1990. - Vol. 23, I. 2. - P. 5-16.

89. Ekstrom, M.P. Digital image processing techniques / M.P. Ekstrom. - FL: Academic Press, 1984. - 372 p.

90. El-Rewini, H. Advanced computer architecture and parallel processing / H. El-Rewini, M. Abd-El-Barr. - NJ: John Wiley & Sons, 2005. - 287 p.

91. Foubert, Brandon & Mitton, Nathalie. (2020). Long-Range Wireless Radio

Technologies: A Survey. Future Internet. 12. 13. 10.3390/fi12010013.

92. Fu, K. Picture engineering / K. Fu, T.L. Kunii. - Berlin: Springer, 1982. -

305 p.

93. Fularz, M. High-performance FPGA-based image feature detector and matcher based on the FAST and BRIEF algorithms / M. Fularz [et al.] // International Journal of Advanced Robotic Systems. - 2015. - Vol. 12. - P. 1-15.

94. Garcia-Risueno, P. A review of high performance computing foundations for scientists / P. Garcia-Risueno, P.E. Ibanez // International journal of modern physics C. - 2012. - Vol. 23 - No. 7. - P. 1-33.

95. Gokhale, M.B. Reconfigurable computing. Accelerating computation with field-programmable gate arrays / M.B. Gokhale, P.S. Graham. - Dordrecht: Springer, 2005. - 238 p.

96. Griselda Saldana. Flexible systolic architecture for image processing at video rate. Published by National Institute of Astrophysics, Optics and Electronics, 2007, Tonantzintla, Puebla.

97. B. Gulmez , F. Demirtas , i. Yildirim , U. Leloglu , M. Yaman and E. Guneyi, "A Method to Enhance Homogeneous Distribution of Matched Features for Image Matching", International Journal of Environment and Geoinformatics, vol. 7, no. 1, pp. 102-107, Apr. 2020, doi:10.30897/ijegeo.710634

98. Hennessy, J.L. Computer Architecture / J.L. Hennessy, D.A. Patterson. -5th ed. - MA: Morgan Kaufmann, 2012. - 856 p.

99. Hwang, K. Integrated computer architectures for image processing and database management / K. Hwang, K. Fu // Computer. - 1983. - Vol. 16. - I. 1. - P. 51-60.

100. Huang, Y., Wang, J., Li, S. Handwriting recognition system based on FPGA. Journal of Physics: Conference Series, Volume 1176, Issue 3, 2019, article number 032026.

101. Jahne, B., Scharr, H., Korkel, S.: Principles of filter design. In: Handbook of Computer Vision and Applications, Academic Press, London (1999)

102. Jiang, Y.-C.ab, Liu, S.-B., Zhang, H.-F.a, Kong, X.-K.a Reconfigurable

design of logic gates based on a two-dimensional photonic crystals waveguide structure. Optics Communications, Volume 332, 1 December 2014, Pages 359-365.

103. Kazmi, M., Aziz, A. & Kundi, DeS. A Pareto-Optimal Multi-filter Architecture on FPGA for Image Processing Applications. Circuits Syst Signal Process 38, 4762-4786 (2019). https://doi.org/10.1007/s00034-019-01083-4.

104. Kessal, L. Reconfigurable computing: design methodology and hardware tasks scheduling for real-time image processing / L. Kessal [et al.] // Journal of RealTime Image Processing. - 2008. - Vol. 3, I. 3. - P. 131-147.

105. Khan, M.A.; Qureshi, I.M.; Khanzada, F. A Hybrid Communication Scheme for Efficient and Low-Cost Deployment of Future Flying Ad-Hoc Network (FANET). Drones 2019, 3, 16. https://doi.org/10.3390/drones3010016

106. Kung, S.Y. On supercomputing with systolic/wavefront array processors / S.Y. Kung // Proceedings of the IEEE. - 1984. - Vol. 72. - No. 7. - P. 867-884.

107. Lookin, N.A., "Digital image processing systems based on functional-oriented processors with a homogeneous structure", in Journal of Physics Conference Series, 2020, vol. 1680, no. 1. doi:10.1088/1742-6596/1680/1/012034.

108. Lu, R., Liu, X., Wang, X., Pan, J., Sun, K., & Waynes, H. (2017). The Design of FPGA-based Digital Image Processing System and Research on Algorithms.

109. Min Xu, Image processing system based on FPGA and convolutional neural network, Microprocessors and Microsystems, 2020, ISSN 0141-9331, https://doi.org/10.1016/j.micpro.2020.103379.

110. Khan, M.A., Safi, A., Qureshi, I.M. and Khan, I.U., "Flying ad-hoc networks (FANETs): A review of communication architectures, and routing protocols," 2017 First International Conference on Latest trends in Electrical Engineering and Computing Technologies (INTELLECT), 2017, pp. 1-9, doi: 10.1109/INTELLECT .2017.8277614.

111. Khan, M.A., Khalid, A. and Khanzada, F., "Dual-Radio Dual-Band Configuration for Flexible Communication in Flying Ad-hoc Network (FANET)," 2019 International Conference on Communication Technologies (ComTech), 2019, pp. 108-113, doi: 10.1109/C0MTECH.2019.8737798.

112. Noor, F.; Khan, M.A.; Al-Zahrani, A.; Ullah, I.; Al-Dhlan, K.A. A Review on Communications Perspective of Flying Ad-Hoc Networks: Key Enabling Wireless Technologies, Applications, Challenges and Open Research Topics. Drones 2020, 4, 65. https://doi.org/10.3390/drones4040065

113. Chilamkurthy, N.S., Pandey, O.J., Ghosh, A., Cenkeramaddi, L.R. and Dai, H.N., "Low-Power Wide-Area Networks: A Broad Overview of Its Different Aspects," in IEEE Access, vol. 10, pp. 81926-81959, 2022, doi: 10.1109/ACCESS.2022.3196182.

114. Pang, Y., Yuan, Y., Li, X., Pan, J. Efficient HOG human detection // Signal Processing. 2011. 91, № 4. P. 773-781.

115. Peng, F., Wang, S. & Liang, S. Establishment of cellular automata image model and its application in image dimension measurement. J Image Video Proc. 2019, 14 (2019). https://doi.org/10.1186/s13640-018-0404-5

116. Plaza, A.J. High performance computing in remote sensing / A.J. Plaza, C. Chang. - FL: Chapman & Hall/CRC, 2007. - 496 p.

117. Qasaimeh, M., Denolf, K., Lo, J., Vissers, K., Zambreno, J., Jones, P.H. Comparing Energy Efficiency of CPU, GPU and FPGA Implementations for Vision Kernels // Proceedings of 2019 IEEE International Conference on Embedded Software and Systems (ICESS) (Las Vegas, USA). - 2019. - Volume 2017. - P. 1-8. DOI: 10.1109/ICESS.2019.8782524.

118. Q.M. Qadir, Q.M., Rashid, T.A., Al-Salihi, N.K., Ismael, B., Kist, A.A., and Zhang, Z., "Low Power Wide Area Networks: A Survey of Enabling Technologies, Applications and Interoperability Needs," in IEEE Access, vol. 6, pp. 77454-77473, 2018, doi: 10.1109/ACCESS.2018.2883151.

119. Zirak, Q., Shashev, D., and Shidlovskiy, S., "Swarm of Drones Using LoRa Flying Ad-Hoc Network," 2021 International Conference on Information Technology (ICIT), 2021, pp. 400-405, doi: 10.1109/ICIT52682.2021.9491655.

120. Reeves, A.P. Computer architectures for image processing in the USA / A.P. Reeves // Signal Processing. - 1981. - Vol. 3, I. 3. - P. 217-230.

121. Reeves, A.P. Parallel computer architectures for image processing /

A.P. Reeves // Computer vision, Graphics, and Image Processing. - 1984. - Vol. 25, I. 1. - P. 68-88.

122. Reiche, O., Akif Ozkan, M., Membarth, R., Teicha, J., and Hannig, F. 2017. Generating FPGA-based image processing accelerators with Hipacc. In Proceedings of the 36th International Conference on Computer-Aided Design (ICCAD '17). IEEE Press, 1026-1033.

123. Sandler, M., Zhmoginov, A., Luo, L., Mordvintsev, A., Randazzo, E., & Arcas, B.A. (2020). Image segmentation via Cellular Automata. ArXiv, abs/2008.04965.

124. Saxena, S. Image processing tasks using parallel computing in multi core architecture and its applications in medical imaging / S. Saxena, N. Sharma, S. Sharma // International Journal of Advanced Research in Computer and Communication Engineering. - 2013. - Vol. 2, I. 4. - P. 1896-1900.

125. Schmidt, B. Bioinformatics: high performance parallel computer architectures / B. Schmidt. - FL: CRC Press, 2010. - 370 p.

126. Shashev, D.V. Morphological processing of binary images using reconfigurable computing environments / D.V. Shashev, S.V. Shidlovskiy // Optoelectronics, Instrumentation and Data Processing. - 2015. - Vol. 51. - No. 3. - P. 19 -26.

127. Shashev, D.V., Taganov, A.A., Mondal, M., Okunsky, M.V. Designing LBP-descriptor for reconfigurable computing environments //JPCS. 2020. Vol. 1611. P. 012070.

128. Shidlovskiy, S.V. Reducing dimensions of the histogram of oriented gradients (HOG) feature vector / S.V. Shidlovskiy, A.S. Bondarchuk, S. Poslavsky, M.V. Shikhman // Journal of Physics: Conference Series. - 2020. - Vol. 1611:012072. -DOI: 10.1088/1742-6596/1611/1/012072.

129. Shi, C., Yang, J., Han, Y., Cao, Z., Qin, Q., Liu, L., Wu, N.-J., Wang, Z. A 1000fps vision chip based on a dynamically reconfigurable hybrid architecture comprising a PE array and self-organizing map neural network. Digest of Technical Papers - IEEE International Solid-State Circuits Conference, Volume 57, 2014, Article

number 6757367, Pages 128-129.

130. Shipitko, O.S. Gaussian filtering for FPGA based image processing with High-Level Synthesis tools / Shipitko O.S., Grigoryev A.S.//C6. трудов IV международной конференции и молодежной школы «Информационные технологии и нанотехнологии» (ИТНТ-2018) - Самара: Новая техника, 2018.

- С. 2922-2927.

131. Sornin, N.; Luis, M.; Eirich, T.; Kramp, T.; Hersent, O. LoRaWAN Specification V1.0.2; Technical Report; LoRa Alliance: Beaverton, OR, USA, 2016.

132. S. Hayat, E. Yanmaz and R. Muzaffar, "Survey on Unmanned Aerial Vehicle Networks for Civil Applications: A Communications Viewpoint," in IEEE Communications Surveys & Tutorials, vol. 18, no. 4, pp. 2624-2661, Fourthquarter 2016, doi: 10.1109/COMST.2016.2560343.

133. Naoui, S., Elhdhili, M.E., and Saidane, L.A., "Enhancing the security of the IoT LoraWAN architecture," 2016 International Conference on Performance Evaluation and Modeling in Wired and Wireless Networks (PEMWN), 2016, pp. 1-7, doi: 10.1109/PEMWN.2016.7842904.

134. Yogarayan, S., "Wireless Ad Hoc Network of MANET, VANET, FANET and SANET: A Review", JTEC, vol. 13, no. 4, pp. 13-18, Dec. 2021.

135. Tessier, R. Reconfigurable computing architectures / R. Tessier [et al.] // Proceedings of the IEEE. - 2015. - Vol. 103, № 3. - P. 332-354.

136. Todman, T.J. Reconfigurable computing: architectures and design methods / T.J. Todman [et al.] // IEE Proc.-Comput. Digit. Tech. - 2005. - Vol. 152. - No. 2.

- P. 193-207.

137. Tokhi, M.O. Parallel computing for real-time signal processing and control / M.O. Tokhi, M.A. Hossain, M.H. Shasheed. - London: Springer, 2003. - 262 p.

138. Vanderbauwhede, W. High-performance computing using FPGAs / W. Vanderbauwhed, K. Benkrid. - N.Y.: Springer, 2013. - 803 p.

139. Vega-Rodriguez, M.A. Reconfigurable computing system for image processing via the internet / M.A. Vega-Rodriguez [et al.] // Microprocessors & Microsystems. - 2007. - Vol. 31, I. 8. - P. 498-515.

140. Xiaowen, C., Zhonghai, L., Axel, J., Shuming, C., Yang, G., Shenggang Chen, Hu, C., "Performance Analysis of Homogeneous On-Chip Large-Scale Parallel Computing Architectures for Data-Parallel Applications", Journal of Electrical and Computer Engineering, vol. 2015, 20 pages, 2015. https://doi.org/10.1155/2015/902591

141. Zubair Jeelani, Fasel Qadir, Cellular automata-based approach for salt-and-pepper noise filtration, Journal of King Saud University - Computer and Information Sciences, 2018, ISSN 1319-1578, https://doi.org/10.1016/jjksuci.2018.12.006.

142. Zhang, S., Zhang, H., Song, L. Beyond D2D: Full dimension UAV-to-everything communications in 6G //IEEE Transactions on Vehicular Technology. -2020. - Vol. 69. - No. 6. - P. 6592-6602. https://doi.org/10.48550/arXiv.2004.01920

Приложение А

(обязательное) Листинги модулей, описывающих работу ПВС

Листинг модуля mycell, описывающего работу элементарного вычислителя ПВС, для

алгоритма вычисления координат связных компонент бинарного изображения.

module mycell (input [10:0] i1, input [10:0] j1, input [10:0] i2, input [10:0] j2, input u, input [10:0] i3, input [10:0] j3, input [10:0] i4, input [10:0] j4, input r, input [10:0] i5, input [10:0] j5, input [10:0] i6, input [10:0] j6, input d, input [10:0] i7, input [10:0] j7, input [10:0] i8, input [10:0] j8, input l, input [10:0] i9, input [10:0] j9, input x, input [10:0] p1, input [10:0] p2, input o, input [1:0] z, input clk, input reset,

output logic [10:0] c1, output logic [10:0] r1, output logic [10:0] c2, output logic [10:0] r2, output logic [10:0] c3, output logic [10:0] r3, output logic [10:0] c4, output logic [10:0] r4, output logic [10:0] c5, output logic [10:0] r5, output logic [10:0] c6, output logic [10:0] r6, output logic [10:0] c7, output logic [10:0] r7, output logic [10:0] c8, output logic [10:0] r8, output logic sd, output logic sl, output logic su, output logic sr, output logic b, output logic s, output logic [10:0] m1, output logic [10:0] m2, output logic [10:0] m3, output logic [10:0] m4); // обозначение входов и выходов модуля описания ЭВ ПВС

logic [10:0] Q; logic [10:0] H; logic [10:0] q; logic [10:0] h; logic [10:0] A; logic [10:0] K; logic [10:0] a; logic [10:0] k; bit [10:0] At_1; bit [10:0] Kt_1; bit [10:0] at_1; bit [10:0] kt_1; bit f1; bit f2; bit f3; bit f4;

// создание необходимых связей, затем использование модулей для нахождения максимальных и минимальных значений

max My_max_i ( in1(i1), .in2(i2), .in3(i3), .in4(i4), .in5(i5), .in6(i6), .in7(i7), .in8(i8), .in9(i9),

.out(Q));

max My_max_j (inl(jl), .in2(j2), .in3(j3), .in4(j4), .in5(j5), .in6(j6), .in7(j7), .in8(j8), .in9(j9), out(H));

min My_min_i (inl(il), .in2(i2), .in3(i3), .in4(i4), .in5(i5), .in6(i6), .in7(i7), .in8(i8), .in9(i9),

out(q));

min My_min_j (.inl(jl), .in2(j2), .in3(j3), .in4(j4), .in5(j5), .in6(j6), .in7(j7), .in8(j8), .in9(j9), out(h));

always_comb begin

A=x*Q;

K=x*H;

a=x*q;

k=x*h;

end

always_ff @(posedge clk) // реализация сигнала сброса данных о рассчитанных координатах, необходимого перед обработкой нового изображения begin if (reset) begin At_1 <= 0; Kt_1 <= 0; at_1 <= 0; kt_1 <= 0; end else begin

At_1 <= A; Kt_1 <= K; at_1 <= a; kt_1 <= k; end end

always_ff @(posedge clk)

begin

if (reset)

begin f1 <= 0; f2 <= 0; f3 <= 0; f4 <= 0; end else begin

f1 <= (a==at_1); f2 <= (k==kt_1); f3 <= (A==At_1); f4 <= (K==Kt_1); end

end // присвоение значений согласно теории работы алгоритма, где reset - сигнал, предназначенный для сброса присвоенных значений always_comb begin

r1=((!z[0]&!z[1])*at_1)+(At_1*(z[0]|z[1]));

r2=r1;

r3=r1;

r4=r1;

r5=r1;

r6=r1;

r7=r1;

r8=r1;

c1=((!z[0]&!z[1])*kt_1)+(Kt_1*(z[0]|z[1]));

c2=c1;

c3=c1;

c4=c1;

c5=c1;

c6=c1;

c7=c1;

c8=c1;

b=x;

m1=((!z[0]&!z[1])*a)+p1*(z[1]&!z[0]&o&u&l&f3&f4|z[0]&!z[1]&r|z[0]&z[1]&d);

m2=((!z[0]&!z[1])*k)+p2*(z[1]&!z[0]&o&u&l&f3&f4|z[0]&!z[1]&r|z[0]&z[1]&d);

m3=((!z[0]&!z[1])*i9)+A*(z[1]&!z[0]&o&u&l&f3&f4|z[0]&!z[1]&r|z[0]&z[1]&d);

m4=((!z[0]&!z[1])*j9)+K*(z[1]&!z[0]&o&u&l&f3&f4|z[0]&!z[1]&r|z[0]&z[1]&d);

s=u&l&(!z[0]&!z[1]&f1&f2|z[1]&!z[0]&o&f3&f4|z[0]&f3&f4);

sr=u&l&(!z[0]&!z[1]&f1&f2|f3&f4&(z[0]|z[1]));;

sd=sr;

su=z[1]&!z[0]&o&u&l&f3&f4|z[0]&!z[1]&r|z[0]&z[1]&d; sl=z[1]&!z[0]&o&u&l&f3&f4|z[0]&!z[1]&r;

end // присвоение значений выходам модуля, описывающего ЭВ ПВС endmodule

конец листинга модуля.

Листинг модуля max, предназначенного для нахождения максимальных значений координат.

module max (input [10:0] in1, input [10:0] in2, input [10:0] in3, input [10:0] in4, input [10:0] in5, input [10:0] in6, input [10:0] in7, input [10:0] in8, input [10:0] in9, output logic [10:0] out); // на вход модуля подаются 9 одиннадцатибитных значений, из которых на выход поступает наибольшее значение wire [10:0] sub1; wire [10:0] sub2; wire [10:0] sub3; wire [10:0] sub4; wire [10:0] sub5; wire [10:0] sub6; wire [10:0] sub7;

submax unit1(.in1(in1), .in2(in2), .out(sub1)); submax unit2(.in1(in3), .in2(in4), .out(sub2)); submax unit3(.in1(in5), .in2(in6), .out(sub3)); submax unit4(.in1(in7), .in2(in8), .out(sub4)); submax unit5(.in1(sub4), .in2(in9), .out(sub5)); submax unit6(.in1(sub2), .in2(sub3), .out(sub6)); submax unit7(.in1(sub1), .in2(sub6), .out(sub7)); submax unit8(.in1(sub5), .in2(sub7), .out(out)); endmodule

конец листинга модуля.

Листинг модуля submax, предназначенного для определения наибольшего значения из

двух рассматриваемых значений

module submax (input [10:0] inl, input [10:0] in2, output logic [10:0] out); logic compare;

logic [10:0] bitcompare; // создание необходимых связей

assign compare=in1>=in2; // получение результата сравнения рассматриваемых значений

assign bitcompare[0]=compare;

assign bitcompare[1]=compare;

assign bitcompare[2]=compare;

assign bitcompare[3]=compare;

assign bitcompare[4]=compare;

assign bitcompare[5]=compare;

assign bitcompare[6]=compare;

assign bitcompare[7]=compare;

assign bitcompare[8]=compare;

assign bitcompare[9]=compare;

assign bitcompare[10]=compare; // приведение результата сравнения к 11-битному значению, для осуществления операций над одноразмерными значениями assign out=(in1&(bitcompare))|((~bitcompare)&in2); endmodule

конец листинга модуля.

Листинг модуля min, предназначенного для нахождения минимальных значений координат.

module Min (input [10:0] in1, input [10:0] in2, input [10:0] in3, input [10:0] in4, input [10:0] in5, input [10:0] in6, input [10:0] in7, input [10:0] in8, input [10:0] in9, output logic [10:0] out); // на вход модуля подаются 9 одиннадцатибитных значений, из которых на выход поступает наименьшее ненулевое значение wire [10:0] sub1; wire [10:0] sub2; wire [10:0] sub3; wire [10:0] sub4; wire [10:0] sub5; wire [10:0] sub6; wire [10:0] sub7;

submin unit1(.in1(in1), .in2(in2), .out(sub1)); submin unit2(.in1(in3), .in2(in4), .out(sub2));

submin unit3(.in1(in5), .in2(in6), .out(sub3)); submin unit4(.in1(in7), .in2(in8), .out(sub4)); submin unit5(.in1(sub4), .in2(in9), .out(sub5)); submin unit6(.in1(sub2), .in2(sub3), .out(sub6)); submin unit7(.in1(sub1), .in2(sub6), .out(sub7)); submin unit8(.in1(sub5), .in2(sub7), .out(out)); endmodule

конец листинга модуля.

Листинг модуля submin, предназначенного для определения наименьшего ненулевого значения из двух рассматриваемых значений

module submin (input [10:0] in1, input [10:0] in2, output logic [10:0] out);

logic compare1;

logic compare2;

logic compare3;

logic [10:0] bitcompare1;

logic [10:0] bitcompare2;

logic [10:0] bitcompare3; //создание необходимых связей assign compare1=in1<=in2; assign compare2=in1==0;

assign compare3=in2==0; // получение результатов сравнения рассматриваемых значений

assign bitcompare1[0]=compare1;

assign bitcompare1[1]=compare1;

assign bitcompare1[2]=compare1;

assign bitcompare1[3]=compare1;

assign bitcompare1[4]=compare1;

assign bitcompare1[5]=compare1;

assign bitcompare1[6]=compare1;

assign bitcompare1[7]=compare1;

assign bitcompare1[8]=compare1;

assign bitcompare1[9]=compare1;

assign bitcompare1[10]=compare1;

assign bitcompare2[0]=compare2;

assign bitcompare2[1]=compare2;

assign bitcompare2[2]=compare2;

assign bitcompare2[3]=compare2;

assign bitcompare2[4]=compare2; assign bitcompare2[5]=compare2; assign bitcompare2[6]=compare2; assign bitcompare2[7]=compare2; assign bitcompare2[8]=compare2; assign bitcompare2[9]=compare2; assign bitcompare2[10]=compare2; assign bitcompare3[0]=compare3; assign bitcompare3[1]=compare3; assign bitcompare3[2]=compare3; assign bitcompare3[3]=compare3; assign bitcompare3[4]=compare3; assign bitcompare3[5]=compare3; assign bitcompare3[6]=compare3; assign bitcompare3[7]=compare3; assign bitcompare3[8]=compare3; assign bitcompare3[9]=compare3;

assign bitcompare3[10]=compare3; // приведение результатов сравнения к 11-битному значению, для осуществления операций над одноразмерными значениями

assign out=(in1&(bitcompare1 |bitcompare3))|((~bitcompare1 |bitcompare2)&in2); endmodule

конец листинга модуля.

Листинг модуля pvc_layer1, описывающего связи в одном слое ПВС, для вычисления координат связных компонент бинарного изображения.

module pvc_layer1(input clk, input rst, input [24:0] pix, input [10:0] i9_1_1, input [10:0]

i9_1_2, input [10:0] i9_1_3, input [10:0] i9_1_4, input [10:0] i9_1_5, input [10:0] i9_2_1, input [10:0] i9_2_2, input [10:0] i9_2_3, input [10:0] i9_2_4, input [10:0] i9_2_5, input [10:0] i9_3_1, input [10:0] i9_3_2, input [10:0] i9_3_3, input [10:0] i9_3_4, input [10:0] i9_3_5, input [10:0] i9_4_1, input [10:0] i9_4_2, input [10:0] i9_4_3, input [10:0] i9_4_4, input [10:0] i9_4_5, input [10:0] i9_5_1, input [10:0] i9_5_2, input [10:0] i9_5_3, input [10:0] i9_5_4, input [10:0] i9_5_5, input [10:0] j9_1_1, input [10:0] j9_1_2,

... ,

input [10:0] j9_5_4, input [10:0] j9_5_5, input [10:0] p1_1_1, input [10:0] p1_1_2, ... ,

input [10:0] p1_5_4, input [10:0] p1_5_5, input [10:0] p2_1_1, input [10:0] p2_1_2,

... ,

input [10:0] p2_5_4, input [10:0] p2_5_5, input [1:0] z_1_1, input [1:0] z_1_2, ... ,

input [1:0] z_5_4, input [1:0] z_5_5, input [24:0] signal_o, output [10:0] m1_1_1, output [10:0] m1_1_2, ... ,

output [10:0] m1_5_4, output [10:0] m1_5_5, output [10:0] m2_1_1, output [10:0] m2_1_2,

... 5

output [10:0] m2_5_4, output [10:0] m2_5_5, output [10:0] m3_1_1, output [10:0] m3_1_2,

... 5

output [10:0] m3_5_4, output [10:0] m3_5_5, output [10:0] m4_1_1, output [10:0] m4_1_2,

... 5

output [10:0] m4_5_4, output [10:0] m4_5_5, output [24:0] b_out, output [24:0] signal_s); // обозначение входов и выходов модуля описания слоя ПВС

logic 10:0] wire_ 1 1 in i1;

logic 10:0] wire_ 1 1 in _i2;

logic 10:0] wire_ 1 1 in i3;

logic 10:0] wire_ 1 1 in i4;

logic 10:0] wire_ 1 1 in _i5;

logic 10:0] wire_ 1 1 in i6;

logic 10:0] wire_ 1 1 in i7;

logic 10:0] wire_ 1 1 in i8;

logic 10:0] wire_ 1 2 in i1;

logic 10:0] wire_ 1 2 in _i2;

logic 10:0] wire_ 5 4 in i8;

logic 10:0] wire_ 5 5 in i1;

logic 10:0] wire_ 5 5 in _i2;

logic 10:0] wire_ 5 5 in i3;

logic 10:0] wire_ 5 5 in i4;

logic 10:0] wire_ 5 5 in _i5;

logic 10:0] wire_ 5 5 in i6;

logic 10:0] wire_ 5 5 in i7;

logic 10:0] wire_ 5 5 in i8;

logic 10:0] wire_ 1 1 _in_j 1;

logic [10:0] wire_5_5_in_j8; logic wire_1_1_in_u; logic wire_1_2_in_u;

logic wire_5_4_in_u; logic wire_5_5_in_u;

logic wire_1_1_in_r; logic wire_1_2_in_r;

logic wire_5_4_in_r; logic wire_5_5_in_r; logic wire_1_1_in_d; logic wire_1_2_in_d;

logic wire_5_4_in_d; logic wire_5_5_in_d; logic wire_1_1_in_l; logic wire_1_2_in_l;

logic wire 5 4in l;

logic wire 5 5in l;

logic 10:0] wire_ 1 1_out_c1;

logic 10:0] wire_ 1 1_out_c2;

logic 10:0] wire_ 1 1_out_c3;

logic 10:0] wire_ 1 1_out_c4;

logic 10:0] wire_ 1 1_out_c5;

logic 10:0] wire_ 1 1_out_c6;

logic 10:0] wire_ 1 1_out_c7;

logic 10:0] wire_ 1 1_out_c8;

logic 10:0] wire_ 1 2_out_c1;

logic 10:0] wire_ 5_4_out_c8;

logic 10:0] wire_ 5 5_out_c1;

ogic 10:0 wire_ 5 5_out_c2;

ogic 10:0 wire_ 5 5_out_c3;

ogic 10:0 wire_ 5 5_out_c4;

ogic 10:0 wire_ 5 5_out_c5;

ogic 10:0 wire_ 5 5_out_c6;

ogic 10:0 wire_ 5 5_out_c7;

ogic 10:0 wire_ 5 5_out_c8;

ogic 10:0 wire_ 1 1_out_r1;

ogic 10:0 wire_ 1 1_out_r2;

ogic 10:0 wire_ 1 1_out_r3;

ogic 10:0 wire_ 1 1_out_r4;

ogic 10:0 wire_ 1 1_out_r5;

ogic 10:0 wire_ 1 1_out_r6;

ogic 10:0 wire_ 1 1_out_r7;

ogic 10:0 wire_ 1 1_out_r8;

ogic 10:0 wire_ 1 2_out_r1;

ogic 10:0 wire_ 5_4_out_r8;

ogic 10:0 wire_ 5 5_out_r1;

ogic 10:0 wire_ 5 5_out_r2;

ogic 10:0 wire_ 5 5_out_r3;

ogic 10:0 wire_ 5 5_out_r4;

ogic 10:0 wire_ 5 5_out_r5;

ogic 10:0 wire_ 5 5_out_r6;

ogic 10:0 wire_ 5 5_out_r7;

ogic 10:0 wire_ 5 5_out_r8;

logic wire_1_1_out_su; logic wire_1_2_out_su;

logic wire_5_4_out_su; logic wire_5_5_out_su; logic wire_1_1_out_sr; logic wire_1_2_out_sr;

logic wire_5_4_out_sr;

logic wire_5_5_out_sr; logic wire_1_1_out_sd; logic wire_1_2_out_sd;

logic wire_5_4_out_sd; logic wire_5_5_out_sd; logic wire_1_1_out_sl; logic wire_1_2_out_sl;

.i3(wire_1_1_in_i3) .i7(wire_1_1_in_i7) .j3(wire_1_1_in_j3) .j7(wire_1_1_in_j7)

logic wire_5_4_out_sl;

logic wire_5_5_out_sl; // создание связей для ЭВ одного слоя ПВС mycell cell_1_1(.i1(wire_1_1_in_i1), .i2(wire_1_1_in_i2), .i4(wire_1_1_in_i4), .i5(wire_1_1_in_i5), .i6(wire_1_1_in_i6), .i8(wire_1_1_in_i8), .j1(wire_1_1_in_j1), j2(wire_1_1_in_j2), .j4(wire_1_1_in_j4), j5(wire_1_1_in_j5), .j6(wire_1_1_in_j6), .j8(wire_1_1_in_j8), .u(wire_1_1_in_u), .r(wire_1_1_in_r), .d(wire_1_1_in_d), l(wire_1_1_in_l)

.i9(i9_1_1), j9(j9_1_1), .x(pix[0]), .p1(p1_1_1), .p2(p2_1_1), .o(signal_o[0]), .z(z_1_1), .clk(clk)

.reset(rst), .c1(wire_1_1_out_c1), .c2(wire_1_1_out_c2), .c3(wire_1_1_out_c3)

.c4(wire_1_1_out_c4), .c5(wire_1_1_out_c5), .c6(wire_1_1_out_c6), .c7(wire_1_1_out_c7) .c8(wire_1_1_out_c8), .r1(wire_1_1_out_r1), .r2(wire_1_1_out_r2), .r4(wire_1_1_out_r4), .r5(wire_1_1_out_r5), .r6(wire_1_1_out_r6), .r8(wire_1_1_out_r8), .su(wire_1_1_out_su), .sr(wire_1_1_out_sr), .sl(wire_1_1_out_sl), .b(b_out[0]), .s(signal_s[0]), .m1(m1_1_1), .m2(m2_1_1), .m3(m3_1_1) .m4(m4_1_1));

.r3(wi re_1_1_out_r3) .r7(wire_1_1_out_r7) .sd(wire_1_1_out_sd)

mycell cell_3_3(.i1(wire_3_3_in_i1), .i2(wire_3_3_in_i2), .i3(wire_3_3_in_i3) .i4(wire_3_3_in_i4), .i5(wire_3_3_in_i5), .i6(wire_3_3_in_i6), .i7(wire_3_3_in_i7) .i8(wire_3_3_in_i8), .j1(wire_3_3_in_j1), .j2(wire_3_3_in_j2), j3(wire_3_3_inj3) j4(wire_3_3_inj4), j5(wire_3_3_inj5), .j6(wire_3_3_in_j6), j7(wire_3_3_inj7) j8(wire_3_3_inj8), .u(wire_3_3_in_u), .r(wire_3_3_in_r), .d(wire_3_3_in_d), l(wire_3_3_in_l) i9(i9_3_3), .j9(j9_3_3), .x(pix[12]), .p1(p1_3_3), .p2(p2_3_3), .o(signal_o[12]), .z(z_3_3), .clk(clk) .reset(rst), .c1(wire_3_3_out_c1), .c2(wire_3_3_out_c2), .c3(wire_3_3_out_c3)

.c4(wire_3_3_out_c4), .c5(wire_3_3_out_c5), .c6(wire_3_3_out_c6), .c7(wire_3_3_out_c7) .c8(wire_3_3_out_c8), .r1(wire_3_3_out_r1), .r2(wire_3_3_out_r2), .r3(wire_3_3_out_r3) .r4(wire_3_3_out_r4), .r5(wire_3_3_out_r5), .r6(wire_3_3_out_r6), .r7(wire_3_3_out_r7)

.r8(wire_3_3_out_r8), .su(wire_3_3_out_su), .sr(wire_3_3_out_sr), .sd(wire_3_3_out_sd), .sl(wire_3_3_out_sl), .b(b_out[12]), .s(signal_s[12]), .m1(m1_3_3), .m2(m2_3_3), .m3(m3_3_3), .m4(m4_3_3));

тусе11 cell_5_5(.i1(wire_5_5_in_i1), .i2(wire_5_5_in_i2), л3^ге_5_5_т^3), .i4(wire_5_5_in_i4), .i5(wire_5_5_in_i5), .i6(wire_5_5_in_i6), .i7(wire_5_5_in_i7), л8^ге_5_5_т^8), 01^ге_5_5_т^), .j2(wire_5_5_in_j2), .j3(wire_5_5_in_j3), .j4(wire_5_5_in_j4), .j5(wire_5_5_in_j5), .j6(wire_5_5_in_j6), j7(wire_5_5_mj7), .j8(wire_5_5_in_j8), .u(wire_5_5_in_u), .г^ге_5_5_т_г), .d(wire_5_5_in_d), 1^ге_5_5_т_1), .i9(i9_5_5), о9(]9_5_5), .х(рх[24]), .р1(р1_5_5), .р2(р2_5_5), .o(signal_o[24]), .z(z_5_5), .с1к(с1к), .reset(rst), .c1(wire_5_5_out_c1), .c2(wire_5_5_out_c2), .c3(wire_5_5_out_c3),

.c4(wire_5_5_out_c4), .c5(wire_5_5_out_c5), .c6(wire_5_5_out_c6), .c7(wire_5_5_out_c7), .c8(wire_5_5_out_c8), .г1^ге_5_5_оШ;_г1), .r2(wire_5_5_out_r2), .r3(wire_5_5_out_r3), .r4(wire_5_5_out_r4), .r5(wire_5_5_out_r5), .г6^ге_5_5_оШ;_г6), .r7(wire_5_5_out_r7), .r8(wire_5_5_out_r8), .su(wire_5_5_out_su), .sr(wire_5_5_out_sr),.sd(wire_5_5_out_sd), ^1(тоге_5_5_оШ_з1), .b(b_out[24]), .s(signal_s[24]), .т1(т1_5_5), .т2(т2_5_5), .т3(т3_5_5), .т4(т4_5_5)); //присвоение связей для входов и выходов всех ЭВ одного слоя ПВС

assign wire_1_ _1_in_ _i 1=0

assign wire_1_ 1_in_ i2=0;

assign wire_1_ 1_in_ i3=0;

assign wire_1_ 1_in_ i4=wire _1_2_out_r4;

assign wire_1_ 1_in_ i5=wire _2_2_out_r5;

assign wire_1_ 1_in_ i6=wire _2_1_out_r6;

assign wire_1_ 1_in_ i7=0;

assign wire_1_ 1_in_ i8=0;

assign wire_1_ _1_in_j 1=0;

assign wire_1_ 1_in_j2=0;

assign wire_1_ 1_in_j3=0;

assign wire_1_ 1 in_j4=wire _1_2_out_c4;

assign wire_1_ 1 in_j5=wire _2_2_out_c5;

assign wire_1_ 1 in_j6=wire _2_1_out_c6;

assign wire_1_ 1_in_j7=0;

assign wire_1_ 1_in_j8=0;

assign wire_1_ 1_in_ u=1;

assign wire_1_ 1_in_ r=wire_ 1_2_out_sl;

assign wire_1_1 assign wire_1_1 assign wire_1_2

assign wire_3_2 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_3 assign wire_3_4

assign wire_5_4 assign wire_5_5 assign wire_5_5 assign wire_5_5 assign wire_5_5 assign wire_5_5 assign wire_5_5 assign wire_5_5

n_d=wire_2_1_out_su;

n_l=1;

n_i1=0;

n_l=wi re_3_1_out_sr; n_i 1=wire_2_2_out_r 1; n_i2=wire_2_3_out_r2; n_i3=wire_2_4_out_r3; n_i4=wire_3_4_out_r4; n_i5=wire_4_4_out_r5; n_i 6=wire_4_3_out_r6; n_i 7=wi re_4_2_out_r7; n_i8=wire_3_2_out_r8; n_j 1=wire_2_2_out_c 1; n_j 2=wire_2_3_out_c2; n_j 3=wire_2_4_out_c3; n_j 4=wire_3_4_out_c4; n_j 5=wire_4_4_out_c5; n_j 6=wire_4_3_out_c6; n_j 7=wire_4_2_out_c7; n_j 8=wire_3_2_out_c8; n_u=wire_2_3_out_sd; n_r=wire_3_4_out_sl; n_d=wire_4_3_out_su; n_l=wi re_3_2_out_sr; n_i1=wire_2_3_out_r1;

n_l=wire_5_3_out_sr;

n_i 1=wire_4_4_out_r1;

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.