Модель, метод, алгоритм и устройство преобразования напряжения для нечеткой системы управления охлаждением изделий тема диссертации и автореферата по ВАК РФ 05.13.05, кандидат наук Абдулджаббар Мухаммед Абдулла Абдулбари

  • Абдулджаббар Мухаммед Абдулла Абдулбари
  • кандидат науккандидат наук
  • 2018, Курск
  • Специальность ВАК РФ05.13.05
  • Количество страниц 118
Абдулджаббар Мухаммед Абдулла Абдулбари. Модель, метод, алгоритм и устройство преобразования напряжения для нечеткой системы управления охлаждением изделий: дис. кандидат наук: 05.13.05 - Элементы и устройства вычислительной техники и систем управления. Курск. 2018. 118 с.

Оглавление диссертации кандидат наук Абдулджаббар Мухаммед Абдулла Абдулбари

ВВЕДЕНИЕ

ГЛАВА 1. АНАЛИЗ СУЩЕСТВУЮЩИХ ВЫЧИСЛИТЕЛЬНЫХ СИСТЕМ, МЕТОДОВ И УСТРОЙСТВ ПРЕОБРАЗОВАНИЯ НАПРЯЖЕНИЯ ДЛЯ УПРАВЛЕНИЯ ОХЛАЖДЕНИЕМ ИЗДЕЛИЙ

1.1 Анализ элементов, входящих в устройство преобразования «напряжение-ток» для системы управления охлаждением изделий

1.2 Анализ подходов проектирования интеллектуальных систем управления

1.3 Анализ моделей нечетко-логического вывода

1.3.1 Алгоритм нечеткого вывода Мамдани

1.3.2 Алгоритм нечеткого вывода Тсукамото

1.3.3 Алгоритм нечеткого вывода Сугэно

1.3.4 Алгоритм нечеткого вывода Ларсена

1.3.5 Упрощенный алгоритм нечеткого вывода

1.4 Анализ существующих устройств, основанных на нечетко-логическом выводе

1.5 Анализ применимости программируемых логических интегральных схем в управляющих системах

Выводы по первой главе

ГЛАВА 2. МАТЕМАТИЧЕСКИЕ МОДЕЛИ, МЕТОД, АЛГОРИТМ ПРЕОБРАЗОВАНИЯ «НАПРЯЖЕНИЕ - ТОК» ДЛЯ НЕЧЕТКОЙ СИСТЕМЫ УПРАВЛЕНИЯ ОХЛАЖДЕНИЕМ ИЗДЕЛИЙ

2.1 Нечёткая математическая модель вычисления силы тока

2.2 Математическая модель преобразования «напряжение-ток»

2.3 Математическая модель преобразования «напряжение - ток»

2.4 Метод преобразования «напряжение-ток» для нечёткой системы управления охлаждением изделий

2.5 Алгоритм преобразования «напряжение-ток» для нечёткой системы управления охлаждением изделий

2.6 Анализ применимости жесткого нечеткого вывод для модели принятия управляющего решения

2.7 Анализ применимости мягкого нечеткого вывод для модели принятия управляющего решения

Выводы по второй главе

ГЛАВА 3. РАЗРАБОТКА УСТРОЙСТВА ПРЕОБРАЗОВАНИЯ «НАПРЯЖЕНИЕ - ТОК» ДЛЯ НЕЧЕТКОЙ СИСТЕМЫ УПРАВЛЕНИЯ ОХЛАЖДЕНИЕМ ИЗДЕЛИЙ

3.1 Структурно-функциональная схема системы управления охлаждением изделий

3.2 Нечеткое устройство вычисления силы электрические тока

3.3 Устройство преобразования напряжения

3.4 Быстродействующее устройство преобразования «напряжение-ток»

3.5 Имитационное моделирование нечеткого процесса вычисления силы электрического тока и преобразования «напряжение-ток»

3.6 Имитационное моделирование процесса нечеткого управления охлаждением изделий

Выводы по третьей главе

ГЛАВА 4. ЭКСПЕРИМЕНТАЛЬНАЯ ОЦЕНКА ФУНКЦИОНИРОВАНИЯ УСТРОЙСТВА ПРЕОБРАЗОВАНИЯ «НАПРЯЖЕНИЕ - ТОК» ДЛЯ НЕЧЕТКОЙ СИСТЕМЫ УПРАВЛЕНИЯ ОХЛАЖДЕНИЕМ ИЗДЕЛИЙ

4.1 Экспериментальное устройство преобразования напряжения в нечеткой системе управления охлаждением изделий

4.2 Экспериментальные исследования

4.2.1 Анализ функционирования нечеткой математической модели вычисления силы тока

4.2.2 Расчет уравнения регрессии и оценка адекватности математической модели

4.2.3 Расчет достоверности полученных результатов

4.2.4 Расчет доверительного интервала

4.2.5 Сравнительный анализ с аналогами

4.2.6 Анализ пропускной способности алгоритма

Выводы по четвертой главе

ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ

СПИСОК СОКРАЩЕНИЙ И УСЛОВНЫХ ОБОЗНАЧЕНИЙ

СПИСОК ЛИТЕРАТУРЫ

Приложение А. Копия свидетельства о государственной регистрации

программы для ЭВМ № 2017663078

Приложение Б. Текст программной процедуры. Программа для расчета

четкого напряжения, поступающего на потенциометр

Приложение В. Текст программной процедуры. Программа для быстродействующего устройства расчета напряжения

Рекомендованный список диссертаций по специальности «Элементы и устройства вычислительной техники и систем управления», 05.13.05 шифр ВАК

Введение диссертации (часть автореферата) на тему «Модель, метод, алгоритм и устройство преобразования напряжения для нечеткой системы управления охлаждением изделий»

ВВЕДЕНИЕ

Актуальность темы исследования.

В последние десятилетия возрос интерес к специализированным устройствам и промышленным контроллерам, применяемым в системах управления техническими объектами, основанных на нечёткой логике, которая позволяет учитывать неопределенность в процессе принятия управляющих решений с учетом переходов от четких данных к нечетким и обратно в процессе вычислений. Примирительно к станкам с числовым программным управлением (ЧПУ) общим недостатком нечетких моделей является то, что на их выходе формируются сигналы, имеющие различную физическую природу. При этом не учитывается, что исполнительные механизмы объекта управления реагируют только на сигнал напряжения. В результате возникает задача преобразования физических параметров, получаемых на выходе нечётких моделей, в величину напряжения, необходимую для управления техническим объектом. Следует отметить, что управление техническими объектами в современных системах осуществляется с помощью программируемых логических контроллеров и/или промышленных компьютеров. К таким специализированным устройствам предъявляются высокие требования по быстродействию. Однако, вышеуказанные устройства имеют последовательный метод обработки информации. Для достижения максимальной скорости обработки информации рекомендуется использовать параллельно-конвейерные программируемые логические интегральные схемы. Таким образом, повышение быстродействия в условиях преобразования выходных переменных, полученных в нечетких моделях, в сигналы управляющего напряжения с использованием ПЛИС, является актуальной задачей.

Разработкой подобных устройств занимались такие ученые, как

Новиков Д.А., Схиртладзе А. Г., Батищев Д.И., Кожевников Д. В.,

Гречишников В. А., Кирсанов С. В., Золотухин Н.М. и другие. Также в этой

области зарубежные учёные достигли существенных успехов - Л. Заде,

5

Саймон Г., Lee J.-K., Kohler E., Fuju T. и др. Однако для улучшения качественных и эксплуатационных показателей разрабатываемых устройств необходимо рассмотреть вопросы, связанные с их интеллектуализацией.

В последнее время для интеллектуализации цифровых устройств вычислительной техники применяются элементы искусственного интеллекта, прежде всего - нейронные сети и нечеткие модели.

Степень разработанности проблемы. В развитие теории и практики применения в устройствах управления сложными адаптивными нечеткими и нейро-нечеткими системами большой вклад внесли российские и зарубежные ученые: Поспелов Д.А., Пегат А., Андрейчиковы А.В. , Ципкин Я.З, Растригин Л.А., Бернштейн Л.С., Круглов В.В., Мелихов А.Н. , Ротштейн А.П., Попов Ф.А., Еремеев А.П., Черноруцкий И.Г., Комарцова Л.Г., Мамдани М., Кудинов Ю.И., Малышев К.Г., Zadeh L., Sugiura H., M. Sugeno, Takagi T., Tanaka H., Turksen I.B., Yager R.R., Yasukawa T., Wang L.X. и др. Тем не менее, в работах представленных ученых мало проработаны вопросы, связанные с применением интеллектуальных нечетких систем при проектировании устройств на базе ПЛИС.

Работа выполнена при поддержке Минобрнауки Российской Федерации в рамках грантов Президента РФ для государственной поддержки молодых российских ученых № МД- 707.2017.8 (Исследование, разработка и моделирование методов мягкого управления робототехническими комплексами на основе адаптивных нейро-нечетких обучающих систем), а также Государственного задания № 2.3440.2017/4.6 (Разработка методов обеспечения живучести интеллектуальных бортовых систем управления беспилотных транспортных средств).

Цель диссертационной работы - повышение быстродействия процесса преобразования «напряжение-ток» в нечеткой системе управления охлаждением изделий.

В соответствии с целью работы были сформулированы и решены следующие основные задачи:

1. Анализ существующей элементной базы и современных вычислительных устройств, входящих в состав системы преобразования «напряжение-ток» на оборудовании с ЧПУ с целью обоснования выбранного направления исследования.

2. Разработка нечеткой математической модели вычисления силы тока, передаваемого на термоэлемент, на основе упрощённого нечёткого логического вывода и математической модели преобразования «напряжение-ток» для обеспечения требуемой силы тока на термоэлементе.

3. Разработка метода и алгоритма преобразования «напряжение-ток» для нечеткой системы управления охлаждением изделий, позволяющих повысить за счет параллельно-конвейерной обработки данных скорость принятия управляющих решений.

4. Разработка структурно-функциональной схемы системы управления охлаждением изделий, реализованной на устройствах нечеткого вычисления силы электрического тока, и быстродействующего устройства преобразования напряжения, их экспериментальные исследования.

Объект исследования: вычислительные процессы нечеткой обработки данных в устройстве преобразования напряжения.

Предмет исследования: нечеткие математические модели, алгоритмы и устройства параллельно-конвейерного преобразования «напряжение-ток» на основе упрощённого нечёткого логического вывода.

Методы исследования. Для решения поставленных задач в работе использовались теория нечёткой логики и множеств, методы математического моделирования, вычислительной статистики, регрессионный анализ, основы теории построения алгоритмов и проектирования вычислительных устройств и ЭВМ.

Научная новизна и основные положения, выносимые на защиту:

1. Нечёткая математическая модель вычисления силы тока, передаваемого на термоэлемент, основанная на упрощённом нечётко -логическом выводе, позволяющая обеспечить вычисление силы тока, требуемой для охлаждения изделий при функционировании вычислительного устройства преобразования напряжения в нечеткой системе управления охлаждением изделий.

2. Математическая модель преобразования «напряжение-ток», позволяющая с помощью устройства преобразования напряжения обеспечить требуемый ток на термоэлементе.

3. Метод и алгоритм преобразования «напряжения-ток» для нечеткой системы управления охлаждением изделий, объединяющие математические модели в единое целое и позволяющие синтезировать устройство преобразования напряжение.

4. Быстродействующее устройство преобразования напряжения для нечеткой системы управления охлаждением изделий, обеспечивающее требуемый ток на термоэлементе.

Практическая ценность работы состоит в следующем:

1. Разработанный алгоритм управления температурным режимом позволил создать программу для ЭВМ № 2017663078 «Моделирование процесса управления температурным режимом в зоне резания», позволяющую повысить точность системы управления охлаждением изделий на основе упрощенного нечёткого логического вывода;

2. На основе созданной нечеткой математической модели вычисления силы тока, передаваемого на термоэлемент, разработаны способ и устройство для управления температурой в зоне резания (Заявка на пат. РФ № 2017129946), позволяющие обеспечить увеличение производительности системы охлаждения на 15%.

Реализация результатов работы.

Результаты, полученные в диссертационной работе, внедрены в ООО «Компания «КурскИнформПродукт» в условиях опытно-промышленных испытаний системы преобразования напряжения для нечеткой системы охлаждением изделий, а также используются в учебном процессе кафедры «Вычислительная техника» Юго-Западного государственного университета в рамках дисциплин «Интеллектуальные системы» и «Автоматизированные нечётко-логические системы управления» направления 09.03.01 Информатика и вычислительная техника, что подтверждается соответствующими актами.

Соответствие паспорту специальности.

Согласно паспорту специальности 05.13.05 - Элементы и устройства вычислительной техники и систем управления, проблематика, рассмотренная в диссертации, соответствует пунктам 1 и 2 паспорта специальности (1. Разработка научных основ создания и исследования общих свойств и принципов функционирования элементов, схем и устройств вычислительной техники и систем управления в части разработки быстродействующего устройства преобразования напряжения, 2. Теоретический анализ и экспериментальное исследование функционирования элементов и устройств вычислительной техники и систем управления в нормальных и специальных условиях с целью улучшения технико-экономических и эксплуатационных характеристик) в части разработки системы управления охлаждением изделий при их обработке на оборудовании с числовым программных управлением).

Апробация результатов исследования.

Диссертационная работа отражает результаты научных исследований, проводимых с 2014 по 2018 годы.

Основные теоретические положения и научные результаты диссертационной работы докладывались, обсуждались и получили положительную оценку на 15 международных и всероссийских научно -технических конференциях: Современные материалы техника и технологии (г.Курск, 2015); Интеллектуальные и информационные системы (г. Тула, 2015, 2016); Многоядерные процессоры, параллельное программирование, ПЛИС, системы обработки сигналов (г. Барнаул, 2016); Информационно-измерительные диагностирующие и управляющие системы (г. Курск, 2016); Новые информационные технологии и системы (г. Пенза, 2016); Автоматизация и энергосбережение машиностроительного и металлургического производств, технология и надежность машин, приборов и оборудования (г. Вологда, 2016, 2017); Мехатроника, автоматика и робототехника (г. Новокузнецк, 2017); Промышленные АСУ и контроллеры (г. Москва, 2017); Оптико-электронные приборы и устройства в системах распознавания образов (г. Курск, 2017); Инноватика (г. Томск, 2017); Нелинейная динамика машин - school-NDM (г. Москва, 2017); Десятая всероссийская мульти конференция по проблемам управления (г. Ростов-на-Дону, 2017); Современные инновации в науке и технике (г. Курск, 2018), а также на научно-технических семинарах кафедры «Вычислительная техника» Юго-Западного государственного университета (ЮЗГУ, 2014^2018 гг.).

Личный вклад автора. Личный вклад автора состоит в непосредственном участии в проведении всех экспериментальных и теоретических исследований, апробации результатов исследования, подготовке докладов и публикаций по теме диссертации. Вся обработка и интерпретация полученных результатов выполнена лично автором.

Публикации. По материалам диссертации опубликовано 21 научная работа, в том числе 3 статьи, входящих в перечень ведущих научных рецензируемых журналов и изданий, 1 зарегистрирована в международных

базах данных Scopus и WoS, 1 свидетельство о государственной регистрации программы для ЭВМ.

Структура и объем диссертации. Диссертация состоит из введения, 4 глав, заключения, списка литературы из 100 наименований и приложений. Работа изложена на 118 страницах машинописного текста и содержит 40 рисунков и 28 таблиц.

ГЛАВА 1. АНАЛИЗ СУЩЕСТВУЮЩИХ ВЫЧИСЛИТЕЛЬНЫХ СИСТЕМ, МЕТОДОВ И УСТРОЙСТВ ПРЕОБРАЗОВАНИЯ НАПРЯЖЕНИЯ ДЛЯ УПРАВЛЕНИЯ ОХЛАЖДЕНИЕМ ИЗДЕЛИЙ

1.1 Анализ элементов, входящих в устройство преобразования «напряжение-ток» для системы управления охлаждением изделий

Как правило, устройства охлаждения изделий (УОИ) состоят из аппаратной части и специализированного программного обеспечения, осуществляющего управление исполнительными механизмами различных систем управления. К аппаратной части системы управления относятся следующие вычислительные устройства: микроконтроллеры (например, PIC, Arduino) (рисунок 1.1, а,б); промышленные логические контроллеры (ПЛК) (например, Siemens, рисунок 1.1, в); ПИД-регуляторы; программируемые логические интегральные схемы (ПЛИС, рисунок 1.1, г).

К программным решениям относятся различные системные оболочки, например, для управления ПЛК Simatic S7-1200 используется программное обеспечение TiaPortal v14.1. Для программирования микроконтроллеров Arduino - среда разработки Arduino IDE. Для программирования ПЛИС семейства Xilinx (Spartan 3E, Arty7) - среда разработки ISE Desing или Vivado. Программные средства различаются языками программирования, используемыми для программирования управляющих устройств. Например, в TiaPortal программирование ПЛК осуществляется с помощью языков LAD и FBD. В среде программирования Arduino IDE используется язык совместимый с C/C++. В среде разработки ISE Desing используются язык VerilogHDL [6].

(а) (6)

Рисунок 1.1 - Управляющие устройства: а - Arduino Uno; б - Arduino Mega; в -Simatic S7-200; б - Spartan-3E В связи с необходимостью повышения быстродействия устройств принятия управляющих решений в системах реального времени рекомендуется использовать параллельно-конвейерные программируемые логические интегральные схемы. Сравнительный анализ характеристик вышеуказанных устройств, представлен в таблице 1.1.

Таблица 1.1

Сравнительный анализ характеристик вычислителей

Arduino Mega Arduino Uno Spartan 3E

Микроконтроллер ATmega2560 ATmega328p XC3S1200E

Рабочее напряжение (В) 5 5 5

Входное напряжение (В) 6 - 20 6 - 20 1.1- 3.5

Число цифровых входов/выходов 54 14 784

Флеш-память (Kb) 128 32 16 Mb

Тактовая частота (MHz) 16 16 5 - 333

Встроенные ЦАП и АЦП Да Да Да

Поддержка многопоточности Нет Нет Да

Анализ сравнительных характеристик, представленных в таблице 1.1, показал, что ПЛИС позволяют обеспечить параллельно-конвейерную обработку данных, что обеспечивает повышение производительности вычислителей на 3 и более порядка.

В качестве систем активного контроля используются различные датчики, позволяющие в режиме реального времени обеспечивать контроль за разнообразными процессами. Как правило, датчики различаются по типу контролируемых параметров и по физическому эффекту, используемому для получения сигнала из контролируемой области. В системе управления охлаждением изделий используются следующие датчики: температурные, датчики определения силы тока и скорости вращения.

В системах управления используются как полупроводниковые температурные датчики, например, LM35 (ТМР35) и DS18B20, так и термопары с чипами для подключения к микроконтроллерам, например, датчик МАХ6675 [7]. Технические характеристики датчиков температуры сведены в таблицу 1.2.

Таблица 1.2

Технические характеристики датчиков температуры

Характеристика Модель датчики температуры

ЬМ35 Б818Б20 МАХ6675

Внешний вид т I %

Входное напряжение (В) +2.8 до +5 +3 до +5.5 +3 до +5.5

Выходное напряжение (В) -1 до +6 +5.5 -6 до +20 мВ

Температурный режим (С) -55 до +150 +55 до +125 -150 до +900

В таблице 1.3 представлены структурная схема подключения датчика ЬМ35 к микроконтроллеру Агёшпо и программный код.

Схема подключения датчика LM35 и программный код

Схема подключения датчика LM35

Программный код

ТУР

36 ьл

W ™

FISir Arduino или

rt MR U no tub!

№ff (Rev3) к

HiT НПЯБЛ

Pa

«:■ MHGS

Al МИ№

« U?

Ai ttf

МВДЛ. ПвМЕгЧ

ШЛО. simm im:i

HUUrWW ВП

МЮЛИг

жопа

сдаг ню

1Г P л SfK

KW MUSI

int raw = 0; float temp = 0; void setup() { Serial.begin(9600); pinMode( A0, INPUT );

}

void loop() { raw = analogRead(A0); temp = ( raw/1023.0 )*5.0*1000/10; Serial.println(temp);

delay(1000); }

В таблице 1.4 представлены структурная схема подключения датчика MAX6675 к микроконтроллеру ЛМшш и программный код.

Таблица 1.4

Схема подключения датчика MAX6675 и программный код

Схема подключения

Программный код

#include "max6675.h" int ktcSO = 8; int ktcCS = 9; int ktcCLK = 10;

MAX6675 ktc(ktcCLK, ktcCS, ktcSO); void setup() { Serial.begin(9600); delay(500);

}

void loop() { Serial.print("Deg C = "); Serial.print(ktc.readCelsius()); Serial.print("\t Deg F = "); Serial.println(ktc.readFahrenheit()); delay(500);

}

В таблице 1.5 представлены сравнительные характеристики датчиков тока [8^11]. Они подразделяются на датчики: основанные на эффекте Холла; трансформаторного типа; резистивные датчики.

Характеристики датчиков тока

Характеристика Датчики Холла Трансформаторного типа Резистивные датчики

Номинальное напряжение (кВ) до 6 до 0,66 До 1

Диапазон рабочих температур (С) -40 - +150 -45 - +50 -40 - +60

Номинальные токи (А) до 1000 До 1000 До 20

измеряемый ток постоянный /переменный Переменный Постоянный

Погрешность измерений (%) 10 5 1

Частотный диапазон (кГц) 200 50/60/400Гц 100

Гальваническая развязка есть есть нет

а)

б)

в)

Рисунок 1.2 - Датчики тока: а - на эффекте Холла; б - трансформатор тока;

в - резистивные датчики В таблице 1. 6 представлены структурная схема подключения датчика Холла АСБ758 к микроконтроллеру Агёшпо и программный код.

Программный код для подключения датчика

Схема подключения

Программный код

const int numReadings = 30; float readings[numReadings]; int index = 0; float total = 0; float average = 0; float currentValue = 0;

void setup() {

Serial.begin(115200); for (int thisReading = 0; thisReading < numReadings; thisReading++)

readings[thisReading] = 0; }

void loop() {

total= total - readings[index]; readings[index] = analogRead(0);

readings[index] = (readings[index]-512)*5/1024/0.04-0.04; total= total + readings[index]; index = index + 1; if (index >= numReadings) index = 0; average = total/numReadings; currentValue= average; Serial.println(currentValue); delay(10); }

Технические характеристики датчиков скорости вращения [12] сведены в таблицу 1.7.

Таблица 1.7

Характеристики датчиков скорости вращения

Характеристика GEL 248 GEL 2471 GEL 2476

Внешний вид V) |iT

Диапазон температур °С От -40 до +120 От -40 до +120 От -40 до +120

Технология магнитный на токах Фуко магнитный

Частота, Ш От 0 до 25 От 0 до 25 От 0 до 25

Напряжение питания, В От 10 до 30 в постоянного тока (HTL), 5 В (TTL) От 10 до 30 в постоянного тока От 10 до 30 в постоянного тока

Сигнал сигналы на выходе датчика сигналы на выходе датчика выходной ток, выходное напряжение

Количество каналов 2 До 2 2

Касса защита IP68 IP68 IP68

Воздушный зазор целевого колеса от 0.2 мм до 3 мм в зависимости от модуля от 0.2 мм до 4.0 мм в зависимости от модуля от 0.2 мм до 3 мм

В таблице 1.8 представлены структурная схема подключения датчика скорости к микроконтроллеру Ardшm и программный код.

Программный код для подключения датчика

Структурная схема подключения датчика ХОЛЛА 49E

Программный код

int encoder_pin = 2; unsigned int rpm; volatile byte pulses; unsigned long timeold; unsigned int pulsesperturn = 12;

void counter() {

pulses++;

}

void setup() {

Serial.begin(9600); pinMode(encoder_pin, INPUT); attachlnterrupt(0, counter, FALLING); pulses = 0;

rpm = 0; timeold = 0;

}

void loop() {

if (millis() - timeold >= 1000) { detachlnterrupt(O); rpm = (60 * 1000 / pulsesperturn )/ (millis() - timeold)* pulses; timeold = millis(); pulses = 0;

Serial.print("RPM = "); Serial.println(rpm,DEC); attachlnterrupt(0, counter, FALLING);

}

}

Учитывая вышесказанное, обобщенная схема системы управления охлаждения изделий содержит следующие блоки (рисунок 1.3): блок датчиков (Д и ДТ); микроконтроллер (МК); блок принятия решений (БПР); устройство принятия решений (УПР); исполнительный механизм (ИМ); блок управления интенсивностью охлаждения (БУИО); тремоэлемент (элемент Пельтье) (ЭП); режущий инструмент (РИ).

Рисунок 1.3 - Обобщенная структурная схема системы управления

охлаждением изделий

1.2 Анализ подходов проектирования интеллектуальных систем управления.

В ходе проведено анализа было установлено, что для интеллектуализации процесса вычисления в устройствах принятия решений (рисунок 1.4) наиболее часто используются нейронные сети, нечеткие модели, генетические алгоритмы и гибридные модели.

Рисунок 1.4 - Анализ моделей интеллектуализации процесса управления охлаждения изделий: 1 - ПК; 2 - устройства принятия решений; 3 -регуляторы; 4 - система активного контроля; 5 - потенциометр; 6 -

операционный усилитель; 7 - резистор; 8 - биполярный транзистор; 9 -

термоэлемент; 10 - датчики В таблице 1.9 представлен сравнительный анализ достоинств и недостатков интеллектуальных моделей [13,14].

Таблица 1.9

Сравнительный анализ интеллектуальных моделей

Модель Достоинства Недостатки

■ Существование быстрых ■ Отсутствие

алгоритмов обучения формализованных алгоритмов

■ Возможность работы настройки сети

при наличии большого числа ■ Непрозрачность

неинформативных признаков формирования результатов

■ Возможность работы анализа

со с коррелированными ■ Высокая сложность

независимыми переменными внутренней структуры сети

■ Возможность ■ Отсутствие строгой теории

прогнозировать значения по выбору структуры

Нейронная нескольких показателей нейронной сети

сеть ■ Возможность решать ■ Необходимость достаточной

несколько задач на едином представительности

наборе входных сигналов - обучающей выборки

имея несколько выходов ■ Результат обученной

нейронной сети не гарантирует

предсказуемости результатов,

что приводит к риску поломок

оборудования, для управления

которых применяется сеть

■ Отсутствие свойства

аддитивности

Модель Достоинства Недостатки

■ Нелинейный контроль ■ Сложность при подготовке

над процессами нечетких правил управления

■ Существование ■ Отсутствие стандартной

быстрых алгоритмов методики разработки нечетких

обучения систем

■ Возможность

прогнозировать значения

нескольких показателей

■ Возможность решать

Нечеткая логика несколько задач на едином наборе входных сигналов -имея несколько выходов ■ Адаптивность ■ Аддитивность ■ Наличие свойства самообучения ■ Используются для задач распознавания образов и управления сложными объектами

■ Работают с ■ Не гарантируют получения

закодированными оптимального решения за

определенным образом приемлемое время вследствие

Генетические параметрами задачи, а не использования механизмов

алгоритмы напрямую с ними случайности при генерации

■ Использование двух новых решений

механизмов решений: ■ Для работы необходимо

Модель Достоинства Недостатки

детерминированного и сложное конфигурирование

вероятностного системы и кодирование

■ Возможность решений

использования в ■ Отсутствие свойства

изменяющейся среде аддитивности

■ Использование

нескольких точек

пространства поиска

■ Применение

вероятностного подхода к

анализу вместо строго

детерминированного

■ Возможность ■ Отсутствие

использования развитых автоматического обнаружения

механизмов логического закономерностей и их

вывода, основанных на использования для

математической логике предсказаний

■ Высокой уровень ■ Детерминированность

Логические модели формализации ■ Согласованность знаний как единого целого, логического вывода ■ Отсутствие механизмов извлечения новых знаний

облегчающее решение ■ Отсутствие механизмов

проблемы верификации базы для критической оценки

знаний, оценки знаний, выявления

независимости и полноты противоречий

системы аксиом ■ Отсутствие свойства аддитивности

Модель Достоинства Недостатки

Сетевые модели ■ Близость структуры сети, синтезирующей систему знаний, близкой к семантической структуре фраз на естественном языке ■ Универсальность, достигаемая за счет выбора соответствующего набора отношений ■ Сетевые модели представляют собой пассивные структуры ■ Представление, использование и модификация знаний при описании систем реального уровня сложности оказывается трудоемкой процедурой ■ Отсутствие свойства аддитивности

Анализ данных, приведенных в таблице 1.9, показал, что нечеткие модели позволяют учитывать неопределённость входных данных о свойствах окружающих объектах, при этом способны обеспечить принятие решений за минимальное количество времени.

1.3 Анализ моделей нечетко-логического вывода

В общем виде нечетко-логический вывод принятия решений в условиях неопределенности состоит из следующих этапов: формирование базы знаний; фаззификация входных параметров; агрегация предпосылок; композиция заключений; аккумуляция; дефаззификация.

Формирование базы знаний

База знаний представляет собой множество нечетких правил вида:

Правило_1: Если «Предпосылка_1» То «Заключение_1» (/1),

Правило_2: Если «Предпосылка_2» То «Заключение_2» (/2),

Правило_п: Если «Предпосылка_п» То «Заключение_п» /),

где п - количество НПУ в базе знаний; /- весовой коэффициент для НПУ в интервале [0, 1], если в обозначении НПУ его нет, значит /=1.

Фаззификация входных параметров

Процедура преобразования входных параметров в нечеткие множества Х={<х, ц(х)>} с определением взаимосвязи между конкретными числовыми значениями входных переменных х и значениями функций принадлежности ц(х)^[0, 1], соответствующими им, называется фаззификацией.

Агрегация предпосылок в НПУ

Агрегация - это процедура, позволяющая определить степень истинности предпосылок по каждому нечеткому правилу из базы знаний.

Композиция заключений в НП

Для реализации этой операции используются различные нечеткие импликации:

жесткая шт-композиция: ц '(у)=шт{с,-, ц '(у)},

ргоё-композиция:

Ц '(У)= с,- х ц '(у^

жесткая ш1п-композиция:

ц '(у)= (сг2+ц'(у)2+52-(^(с,-ц'(у))2+52))/2 где ц' (у) - функция принадлежности терма выходной переменной, заданной на универсальном множестве У.

Аккумуляция НПУ

Аккумуляция заключается в объединении всех степеней истинности заключений нечетких правил для получения выходной функции принадлежности.

Дефаззификация НПУ

Представляет процедуру нахождения четкого значения выходной лингвистической переменной из нечеткого множества. Наиболее часто используемыми моделями дефаззификации являются модель центра тяжести,

модель высот, модель первого, последнего и среднего максимума, модель отношения площадей.

1.3.1 Алгоритм нечеткого вывода Мамдани

Алгоритм Мамдани состоит из следующих этапов: формирование нечеткой базы знаний, фаззификация данных, агрегирование, композиция, аккумуляция и дефаззификация.

В графическом виде алгоритм Мамдани представлен на рисунке 1.5

а)

б)

Рисунок 1.5 - Алгоритм Мамдани

При дефаззификации четкое значение определяет метод центра тяжести:

, (0,18 • 60) + (0,28 • 78,7)

у =-= 71,4.

0,18 + 0,28

1.3.2 Алгоритм нечеткого вывода Тсукамото

Предпосылки нечетких правил задаются как в алгоритме Мамдани, но выходные функции принадлежности имеют вид монотонной функции: экспонента, парабола, константа, канторова лестница или функция Минковского.

В графическом виде модель Тсукамото представлена на рисунке 1.6.

Рисунок 1.6 - Модель Тсукамото В данном алгоритме степени истинности предпосылок вычисляются как:

Из НПь у1 = 0;

Из НП2

Из НП3:

У 2 =

1 - с.

1 - 0,28 0,000001

= 89,6 •

У = = 3- 01

л1к Д/ 0,000001

= 56,5.

Похожие диссертационные работы по специальности «Элементы и устройства вычислительной техники и систем управления», 05.13.05 шифр ВАК

Список литературы диссертационного исследования кандидат наук Абдулджаббар Мухаммед Абдулла Абдулбари, 2018 год

СПИСОК ЛИТЕРАТУРЫ

1. Круглова Т.Н. Применение аппарата нечеткой логики и нейронных сетей для управления техническим состоянием модулей движения технологического оборудования // Вестник компьютерных и информационных технологий. - 2010. - № 8. - С. 28-35.

2. Симаков Д.В. Применение систем нечеткого вывода для расчета интегральных метрик сетевых маршрутов // Вестник компьютерных и информационных технологий. - 2015. - № 10 (136). - С. 38-43.

3. Бобырь М.В., Кулабухов С.А. Моделирование процесса управления температурным режимом в зоне резания на основе нечеткой логики // Проблемы машиностроения и надежности машин. - 2017. - № 3. - С. 76-82.

4. Новожилов Б.М. Вычисление производной аналогового сигнала в программируемом логическом контроллере // Аэрокосмический научный журнал. - 2016. - № 4. - С. 1-12.

5. Karakuzu, C., Karakaya, F., 3avu§lu, M. A. (2016). FPGA implementation of neuro-fuzzy system with improved PSO learning // Neural Networks, - 79, -128-140. https: //doi. org/ 10.1016/j. neunet.2016.02.004

6. Arduino Mega 2560 [Электронный ресурс], -http://doc.arduino.ua/ru/hardware/Mega2560, - статья в интернете. -10.04.2015.

7. Программирование ПЛК Siemens на Simatic Step7 [Электронный ресурс], -https://habr.com/post/139180, - статья в интернете. - 01.03.2012.

8. Ардуино и датчики температуры LM35 и DS18B20 [Электронный ресурс], -http://bigbarrel.ru/ардуино-и-датчики-температуры-lm35-и-ds18b20-опо, - статья в интернете. - 15.10.2014.

9. 50A Current Sensor (SKU: SEN0098) [Электронный ресурс], -https://www.dfrobot.com/wiki/index.php/50A_Current_Sensor(SKU:SEN0098), -статья в интернете. - 27.03.2018.

10. Работа с датчиками тока на эффекте Холла: ACS758 [Электронный ресурс], -https://habr.com/post/397641, - статья в интернете. - 15.09.2016.

11. Клименко К. А. Сравнительный анализ современных датчиков тока // Молодой ученый. — 2011. — №8. - Т.1. — С. 66-68. — URL https://moluch.ru/archive/31/3552, - статья в интернете. - 28.08.2018.

12. Mike Arduino и модуль фотоимпульсного датчика скорости вращения двигателя / Mike // Электроника и вычислительная техника. - 2016. - С. 1125.

13. Зинченко Л.А. Бионические информационные системы и их практические применения / Л.А. Зинченко, В.М. Курейчика, В.Г. Редьков -М.: ФИЗМАТЛИТ, 2011. -288 с. - ISBN 978- 5- 9221- 1302- 1.

14. Руденко О.Г. Основы теории искусственных нейронных сетей / О.Г. Руденко, Е.В. Бодянский. - Харьков: ТЕЛЕТЕХ, 2002. - 317 с.

15. Пат. 2470757 РФ, B23Q 11/10 (аналог). устройство охлаждения режущего инструмента / Емельянов С.Г., Титов В.С., Бобырь М.В., Анциферов А.В.; заявитель и патентообладатель Федеральное государственное образовательное учреждение высшего профессионального образования «ЮГО-ЗАПАДНЫЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ» (ЮЗГУ); заявл. 28.02.2011; опубл. 27.12.2012, Бюл. № 36.

16. Pat. US 0229161 A1. Voltage-to-current converter / Dirk Killat; the applicant and the patentee Dirk Killat; stated 13.04.2006; published 04.10.2007.

17. Пат. 2586189 РФ, B23Q 11/14, B23B (аналог). Способ и устройство управления охлаждением резца / Бобырь М.В., Титов В.С., Насер А.А.Х.; заявитель и патентообладатель Федеральное государственное образовательное учреждение высшего профессионального образования «ЮГО-ЗАПАДНЫЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ» (ЮЗГУ); заявл. 20.04.2014; опубл. 20.06.2016, Бюл. № 16.

18. Pat. US 6,828,832 B2. Voltage to current converter circuit / Bertrand Gabillard; the applicant and the patentee International Business Machines Corporation; stated 25.09.2003; published 07.12.2004.

19. Pat. US 6,420,912 B1. Voltage to current converter / Michael Pang-Cheng Hsu, Rajendran Nair, Stephen R. Mooney; the applicant and the patentee Intel Corporation; stated 13.12.2000; published 16.07.2002.

20. Joseph Anthony Prathap, T.S. Anandhi, T.S. Sivakumaran Xilinx Spartan 3A DSP FPGA based DC Voltage Regulators for PV Systems // Selection and Peer-review under responsibility of International Conference on Processing of Materials, Minerals and Energy. -2018. -1348-1358.

21. P Karthigeyan, M Senthil Raja, T Siva Kumar, SR Sivaa Ganesh, J Lavanya simulation of bi-directional DC-DC converter using FPGA // 7th International Conference on Communication, Computing and Virtualization. -2016. -708 - 714.

22. Грушвицкий Р.И., Мурсаев А.Х., Угрюмов Е.П. Проектирование систем на микросхемах с программируемой структурой. СПб.: БХВПетербург, 2006. 708 с.

23. Зотов В.Ю. Проектирование цифровых устройств на основе ПЛИС фирмы Xilinx в САПР WebPACK ISE. М.: Горячая линия - Телеком, 2003. 624 с.

24. Угрюмов Е.П. Цифровая схемотехника: Учеб. пособие для вузов. 2-е изд., перераб. и доп. СПб.: БХВ-Петербург, 2004. 800 с.

25. Сергиенко А.М. VHDL для проектирования вычислительных устройств. К ЧП «Корнейчук», ООО «ТИД «ДС», 2003. 208 с.

26. Официальный сайт фирмы «Xilinx, Inc» URL: www.xilinx.com.

27. Официальный сайт фирмы «Altera Corporation» URL: www.altera.com.

28. Официальный сайт фирмы «Achronix Semiconductor» URL: www.achronix.com.

29. Официальный сайт фирмы «Actel Corporation» URL: www.actel.com.

30. Официальный сайт фирмы «Atmel Corporation» URL: www.atmel.com.

31. Официальный сайт фирмы «Lattice Semiconductor» URL: www.latticesemi.com.

32. Фудухин А.В., Муха А.А., Муха А.А. ПЛИС-системы как средство повышения отказоустойчивости // Математические машины и системы. -Киев: ИПММС НАН Украины, 2010. - Т. 1. - № 1. - С. 198-204.

33. Бродин В.Б., Калини А.В. Системы на микроконтроллерах и БИС программируемой логики. - М.: Издательство ЭКОМ, 2002. - 400 с.

34. Бродин, В. Б. Системы на микроконтроллерах и БИС программируемой логики / В. Б. Бродин, А. В Калинин. - ЭКОМ.: Издательство, 2002. - 400 с.

35. Рабинер Л. Теория и применение цифровой обработки сигналов / Л. Рабинер, Б. Гоулд. - МИР.: Издательство, 1978. - 848 с.

36. Максфилд К. Проектирование на ПЛИС / К. Максфилд. - Додэка XXI: Издательство, 2007. - 408 с.

37. Минаев И.Г. Программируемые логические контроллеры/ И.Г. Минаев, В.В. Самойленко - АГРУС.: Издательство, 2009. - 100 с.

38. Шпагилев Д. И. Сравнительный анализ полностью заказных СБИС, ПЛИС и СБИС с программируемой архитектурой [Текст] // Актуальные вопросы технических наук: материалы II Междунар. науч. конф. (г. Пермь, февраль 2013 г.). — Пермь: Меркурий, 2013. — С. 17-21.

39. Баркалов, А. А. "Метод уменьшения числа термов при реализации схемы совмещенного микропрограммного автомата в базисе CPLD" [Текст] / А. А. Баркалов, Л. А. Титаренко, И. Я. Зеленева, C. С. Грушко // Вюник НТУ «ХП1», Харюв, 2016, №49 (1221). - с. 25-31.

40. Sklyarov, V. Synthesis and Optimization of FPGA-Based Systems [Text] / V. Sklyarov, I. Sklyarova, A. Barkalov, L. Titarenko. - Springer International Publishing, 2014. - 432 p.

41. Кузелин, М. О. Современные семейства ПЛИС фирмы Xilinx. Справочное пособие / М.О. Кузелин, Д.А. Кнышев, В.Ю. Зотов. - М.: Горячая линия - Телеком, 2004. - 440 с.

42. Тарасов, И. Е. Разработка цифровых устройств на основе ПЛИС Xilinx с применением языка VHDL / И.Е. Тарасов. - М.: Горячая линия - Телеком, 2005. - 256 с.

43. Тарасов И.Е. Программируемые логические схемы и их применение в схемотехнических решениях: учеб, пособие / И.Е. Тарасов, Е.Ф. Певцов -Москва, 2012. -184 с.: ил. ISBN 978-5-7339-0.

44. Микушин А.В. Сложные программируемые логические устройства (CPLD) [Электронный ресурс], - http://digteh.ru/digital/CPLD, - статья в интернете. - 09.10.2012.

45. Микушин А.В., Сажнев А.М., Сединин В.И. Цифровые устройства и микропроцессоры: учеб. пособие / А.В. Микушин, А.М. Сажнев, В.И. Сединин - СПБ.: БХВ-Петербург, 2010. — 832 с.

46. Czerwinski, R. Finite State Machine Logic Synthesis for Complex Programmable Logic Devices [Text] / R. Czerwinski, D. Kania. - Springer Science & Business Media, 2013. - 172 pp

47. Соловьев В.В. Архитектуры ПЛИС фирмы Xilinx: CPLD и FPGA 7-й серии: учеб. пособие / В.В. Соловьев -М.: Горячая линия - Телеком, 2016. — 392 с.: ил. — ISBN 978-5-9912-0500-9.

48. Baranov, S. Logic and System Desing of Digital Systems [Text] / S. Baranov. - Tallinn: TUT Press, 2008. - 267 pp.

49. Yang, S. "Optimum and suboptimum algorithms for input encoding and its relationships to logic minimization" [Text] / S. Yang, M. Ciesielski // IEEE Transactions on CAD of Integrated Circuits and Systems. - 1991, № 10 - 117-131 pp.

50. Попов А.Ю. Проектирование цифровых устройств с использованием ПЛИС: учеб, пособие / А.Ю. Попов - Москва, 2009. - 80 с.: ил. ISBN 978-57038-3317-9.

51. Щеглов С.Н. Анализ моделей принятия решений в новых информационных технологиях в условиях нечёткости и неопределённости // Известия ЮФУ. Технические науки. - Таганрог: ТТИ ЮФУ, 2012. - Т. 132. -№ 7. - С. 126-131.

52. Турыгин И.Г. Метод выбора программируемых логических

интегральных схем на основе целевого функционала при проектировании

105

устройств цифровой обработки информации: Диссертация канд. Техн. Наук: 05.13.17 / Турыгин Игорь Геннадьевич - Пенза: ПГТУ, 2014. - 137 с.

53. Черемисин А.Г. Оценка эффективности применения ПЛИС и процессоров DSP для задач цифровой обработки сигналов // Научно-технический вестник. Информационные технологии: теория, методы, приложения. - СПб.: СПбГУ ИТМО, 2006. - № 32. - С. 44-47.

54. Березин А.С., Мочалина О.Р. Технология и конструирование интегральных микросхем: учебное пособие для вузов. - М.: Радио и связь, 1992. - 320 с.

55. Тоискин В.С. Интеллектуальные информационные системы: учебное пособие. Часть 1. - Ставрополь: Изд-во СГПИ, 2009. - 181 с.

56. FPGA Based Wireless Temperature Monitoring system using Spartan3an Starter Kit [Электронный ресурс], - https://www.pantechsolutions.net/fpga-projects/fpga-based-wireless-temperature-monitoring-system-using-spartan3an-starter-kit, - статья в интернете.

57. Бобырь М.В. Метод нелинейного обучения нейро-нечеткой системы вывода // Искусственный интеллект и принятие решений. 2018. № 1. С. 67-75.

58. Бобырь М.В., Кулабухов С.А., Милостная Н.А. Обучение нейро-нечеткой системы на основе метода разности площадей // Искусственный интеллект и принятие решений. 2016. № 4. С. 15-26.

59. Бобырь М.В., Кулабухов С.А. Дефаззификация вывода из базы нечетких правил на основе метода разности площадей // Вестник компьютерных и информационных технологий. 2015. № 9 (135). С. 32-41.

60. Bobyr M.V., Milostnaya N.A., Kulabuhov S.A. // А method of defuzzification based on the approach of areas' ratio // Applied Soft Computing. 2017. Т. 59. С. 19-32.

61. Nihal E. Modeling and analysis of packing properties through a fuzzy inference system. Journal of Intelligent Manufacturing. - 2010. - № 6. - p. 869 -874.

62. Леоненков А.В. Нечеткое моделирование в среде MATLAB и fuzzy TECH. - СПб: БХВ- Петербург, 2005. - 736 с.

63. Бобырь М.В. Устройство преобразования напряжения для нечеткой системы охлаждения изделий [Текст] / М.В. Бобырь, М.А. Абдулджаббар // 8-й Всероссийская научно-техническая конференция с международным участием «Современные инновации в науке и технике», - Курск, 2018. - С. 43-46.

64. Бобырь М.В. Исследование свойств мягкого алгоритма нечетко-логического вывода [Текст] / М.В. Бобырь, А.А. Нассер, М.А. Абдулджаббар // Известия Юго-Западного государственного университета. - 2016. - №1. -С.31- 49.

65. Титов В.С. Распознавание контуров деталей на основе использования лазерных преобразователей информации / В.С. Титов, М.В. Бобырь, Н.А. Милостная // Промышленные АСУ и контроллеры. - 2007. - № 3. - С. 22 -25. 79.

66. Титов В.С., Бобырь М.В., Милостная Н.А. Особенности оценки точности измерений размеров при использовании высокоточных автоматизированных систем / В.С. Титов, М.В. Бобырь, Н.А. Милостная // Промышленные АСУ и контроллеры. - 2005. - № 6. - С. 17- 19.

67. Бобырь М.В. Методы, модели и алгоритмы создания автоматизированных систем контроля и управления для повышения эффективности механической обработки изделий / М.В. Бобырь // диссертация ЮЗГУ. - Курск, 2012. - 344 с.

68. Бобырь М.В. о некоторых свойствах мягкого алгоритма нечетко-логического вывода [Текст] / М.В. Бобырь, В.С. Титов, С.А. Кулабухов // Известия Юго-Западного государственного университета. - 2015. - №2. -С.39 - 51.

69. Титов В.С., Бобырь М.В., Кулабухов С.А. Нечетко-логическая система управления стабилизацией процесса резания деталей // Известия Юго-Западного государственного университета. Серия: Управление,

107

вычислительная техника, информатика. Медицинское приборостроение. -2013. - № 3. - С. 54-58.

70. Бобырь М.В., Кулабухов С.А., Титов Д.В. Оценка влияния числа обучаемых точек на аддитивность нечетких систем // Промышленные АСУ и контроллеры. - 2014. - № 10. - С. 30-35.

71. Емельянов С.Г., Титов В.С., Бобырь М.В. Интеллектуальные системы на основе нечеткой логики и мягких арифметических операций. - М.: АРГАМАКМЕДИА, 2014. - 341 с.

72. Бобырь М.В., Емельянов С.Г., Милостная Н.А. О некоторых свойствах моделирования адаптивных нейронечетких систем на основе упрощенного нечетко-логического вывода // Информационно-измерительные и управляющие системы. - 2014. - Т. 12. - № 5. - С. 4-12.

73. M. Brown, K.M. Bossley, D.J. Mills, C.J. Harris, High dimensional neurofuzzy systems: overcoming the curse of dimensionality, Proc. IEEE Internat. Conf., 1995. - P. 2139-2146.

74. Бобырь М.В. Влияние числа правил на обучение нечетко-логической системы // Вестник компьютерных и информационных технологий. - 2014. -№ 11 (125). - С. 28-35.

75. Бобырь М.В. Адаптация системы управления мобильным роботом на основе нечеткой логики // Мехатроника, автоматизация, управление. - 2015.

- Т. 16. - № 7. - С. 449-455.

76. Бобырь М.В. Диагностика оборудования с ЧПУ методами нечеткой логики // Промышленные АСУ и контроллеры. - 2010. - № 1. - С. 18-20.

77. Bobyr M.V., Titov V.S., Nasser A.A. Automation of the cutting-speed control process based on soft fuzzy logic computing // Journal of Machinery Manufacture and Reliability. - 2015. - Volume 44, Issue 7. - Р. 633-641.

78. Piegat A. Fuzzy modelling and control. Physica-Verlag. - Heidelberg, 2001.

- P.742.

79. Бобырь М.В., Милостная Н.А. Нечеткая модель интеллектуальной системы управления мобильным роботом // Проблемы машиностроения и автоматизации. - 2015. - № 3. - С. 57-67.

80. Бобырь М.В., Милостная Н.А. Анализ использования мягких арифметических операций в структуре нечеткологического вывода // Вестник компьютерных и информационных технологий. - 2015. - № 7. - С. 7-15.

81. Karakus M., Tutmez B. Fuzzy and multiple regression modelling for evaluation of intact rock strength based on point load, schmidt hammer and sonic velocity // Rock mechanics and rock engineering. - 2006. - Vol.39, №1. - Р. 4557.

82. Бобырь М.В., Титов В.С., Беломестная А.Л. Стабилизация теплового режима в процессе резания // Мехатроника, автоматизация, управление. -2010. - № 6. - С. 38-41.

83. Бобырь М.В. Нечёткая стабилизация процесса обработки деталей в задачах управления оборудованием с ЧПУ [Текст] / М.В. Бобырь, А.А. Нассер, М.А. Абдулджаббар// Автоматизация Современные технологии. -2017. - №5. - С.212- 218.

84. Бобырь М.В. Устройство управления термоэлементом на основе нечеткой логики [Текст] / М.В. Бобырь, М.А. Абдулджаббар// Промышленные АСУ и контроллеры. - 2017. - №11. - С.3 - 8.

85. Bobyr' M. V. The cooled cutter control algorithm is based on fuzzy logic/ M. V. Bobyr', A. A. Nasser and M.A. Abduljabbar //Institute of Electrical and Electronics Engineers. - 2018. - Vol. 44. - No. 7.

86. Абдулджаббар, М.А. Автоматизированная система управления температурным режимом [Текст] / М.А. Абдулджаббар // Международная научно-техническая конференция «Интеллектуальные и информационные системы», - Тула, 2016. - С.212-214.

87. Абдулджаббар, М.А. Алгоритм управления температурным режимом на основе элемента Пельтье [Текст] / М.А. Абдулджаббар // Международной

научно-практической конференции «Новые информационные технологии и системы», - Пенза, 2016. - С. 24-25.

88. Абдулджаббар, М.А. Автоматизированная система управления температурой в зоне резания [Текст] / М.А. Абдулджаббар, А.Н. Бекетов //

XII Международной научно-технической конференции «Автоматизация и энергосбережение машиностроительного и металлургического производств, технология и надежность машин, приборов и оборудования», - Вологда: ВоГУ, 2017. - С. 6-8.

89. Бобырь М.В. Способ управления температурой в зоне резания [Текст] / М.В. Бобырь, М.А. Абдулджаббар // международной научно-практической конференции «Мехатроника, автоматика и робототехника», - Новокузнецк: НИЦ МС, 2017. - С. 96-98.

90. Бобырь М.В. Нечеткая математическая модель управления температурой в зоне резания [Текст] / М.В. Бобырь, М.А. Абдулджаббар //

XIII международная школа-конференция студентов, аспирантов, молодых ученых «инноватика-2017», - Томск,2017. - С. 24-27.

91. Бобырь М.В. Анализ устойчивости нелинейной нечеткой системы управления оборудованием с чпу [Текст] / М.В. Бобырь, А.А. Нассер, М.А. Абдулджаббар // IV Международной Школы-конференции молодых ученых «Нелинейная динамика машин» School-NDM 2017, - Москва,2017. - C. 173178.

92. Бобырь М.В. Автоматизация процесса управления температурным режимом на оборудовании с ЧПУ [Текст] / М.В. Бобырь, М.А. Абдулджаббар // XIII Международной научно-технической конференции «оптико-электронные приборы и устройства в системах распознавания образов, обработки изображений и символьной информации распознавание - 2017», -Курск, 2017. - С.70-71.

93. Бобырь М.В. Нечеткая эргатическая система управления охлаждением изделий [Текст] / М.В. Бобырь, А.А. Нассер, М.А. Абдулджаббар // 10-ая

Всероссийская мульти конференция по проблемам управления (МКПУ-2017). - Ростов-на-Дону, 2017. - С. 115-117.

94. Бобырь М.В. Устройство охлаждения для фрезерного станка с ЧПУ [Текст] / М.В. Бобырь, А.А. Нассер, М.А. Абдулджаббар // Фундаментальные исследования и инновационные технологии в машиностроении: материалы V международной научной конференции. - Москва, 2017. - С. 68-70.

95. Бобырь М.В. Проектирование адаптивной нечетко- логической системы управления. Часть I / М.В. Бобырь, В.С. Титов // Известия высших учебных заведений. Приборостроение. - 2013. - Т. 56. - № 6. - С. 60- 65.

96. Бобырь М.В. Проектирование адаптивной нечетко- логической системы управления. Часть II / М.В. Бобырь // Известия высших учебных заведений. Приборостроение. - 2013. Т. 56. - № 6. - С. 66- 71.

97. Варакин Л.Е. Теория сложных сигналов. М.: Сов. радио, 1970. - 375 с.

98. Бобырь М.В., Кулабухов С.А. Перспективы использования мягких нечетких вычислений в робототехнике // Многоядерные процессоры, параллельное программирование, ПЛИС, системы обработки сигналов. -2016. - № 6. - С. 291-295.

99. Нассер А.А.Х. Метод, алгоритм и устройство для управления охлаждением деталей на основе нейро-нечеткого аппарата: Диссертация канд. техн. наук: 05.13.06 / Нассер Абдулдаиам Абдулджалил Хаил - Курск: ЮЗГУ, 2017. - 134 с.

100. Дрейпер, Н. Прикладной регрессионный анализ / Н. Дрейпер, Г. Смит. -М.: Статистика, 1973. - 399 с.

Копия свидетельства о государственной регистрации программы для

ЭВМ № 2017663078

Текст программной процедуры.

Программа для расчета четкого напряжения, поступающего на

потенциометр.

Лtimescale 1ns / 1ps module top(

input CLK 50MHZ,

input wire [8:0] A1, A2, Fuzzy, input wire [5:0] U1, U2,

output reg [5:0] Rezult );

wire bufged_50m;

wire clknub;

wire locked;

wire clkfx;

wire bufged_200m;

DCM_SP #(

.CLKFX_DIVIDE(1),

.CLKFX_MULTIPLY(4),

.CLKIN_PERI0D(20.000))

DCM SP INST (

.CLKIN(CLK_50MHZ),

.CLKFB(bufged_50m),

.RST(1'b0),

.PSEN(1'b0),

.PSINCDEC(1'b0),

.PSCLK(1'b0),

.DSSEN(1'b0),

.CLK0(clknub),

.CLK90(),

.CLK180(),

.CLK270(),

.CLKDV(),

.CLK2X(),

.CLK2X180(),

.CLKFX(clkfx),

.CLKFX180(),

.STATUS(),

.LOCKED(locked),

.PSDONEQ);

BUFG BG5 (.I(clknub),.0(bufged_50m)); BUFG BG6 (.I(clkfx),.0(bufged_200m));

*//

// Generate synchronous one-shot reset. //

///

wire reset;

synchro #(.INITIALIZE("L0GIC1"))

synchroreset (.async(!locked),.sync(reset),.clk(bufged_50m));

reg [7:0] cnt;

always @ (posedge bufged_50m or posedge reset) if (reset) cnt<=0; else cnt< =cnt+1;

//---------------------------------------------1 peremennaya M111

reg [8:0] DA;

always @ (posedge bufged_50m or posedge reset) if (reset) DA<=0; else DA < = A2-A1;

reg [8:0] CA;

always @ (posedge bufged_50m or posedge reset) if (reset) CA<=0; else CA <= Fuzzy-A1;

reg [15:0] PF1;

always @ (posedge bufged_50m or posedge reset) if (reset) PF1< =0; else PF1 <= CA * 100;

reg ce;

always @ (posedge bufged_50m or posedge reset) if (reset) ce<=0; else ce<=1;

//else if (cnt==8'd2) ce<=1; //else if (cnt==8'd30) ce<=0;

wire Mlreadyl; wire [15:0] PF2; wire [8:0] Fll;

div16x9 div16x9_inst11 ( .clk(bufged_50m), // input clk .ce(ce), // input ce .rfd(M1ready1), // output rfd .dividend(PF1), // input [17 : 0] dividend .divisor(DA), // input [9 : 0] divisor .quotient(PF2), // output [17: 0] quotient .fractional(F11)); // output [9 : 0] fractional

reg [5:0] DU;

always @ (posedge bufged_50m or posedge reset) if (reset) DU<=0; else DU < = U2 - U1;

reg [12:0] PS1;

always @ (posedge bufged_50m or posedge reset) if (reset) PS1<=0; else PS1 <= DU * PF2[6:0];

wire M1ready2;

wire [12:0] PS2;

wire [6:0] F12;

div13x7 div13x7_inst11 ( .clk(bufged_50m), // input clk .ce(ce), // input ce .rfd(M1ready2), // output rfd .dividend(PS1), // input [17: 0] dividend .divisor(7'd100), // input [9 : 0] divisor .quotient(PS2), // output [17: 0] quotient .fractional(F12)); // output [9 : 0] fractional

always @ (posedge bufged_50m or posedge reset) if (reset) Rezult<=0; else Rezult <= U1 + PS2[5:0];

endmodule

Текст программной процедуры. Программа для быстродействующего устройства расчета напряжения.

fimescale 1ns / 1ps module top(

input CLK 50MHZ,

input wire [8:0] A1, A2, Fuzzy, input wire [5:0] U1, U2,

output reg [5:0] Rezult );

wire bufged_50m; wire clknub; wire locked; wire clkfx; wire bufged_200m;

DCM_SP #(

.CLKFX_DIVIDE(1),

.CLKFX_MULTIPLY(4),

.CLKIN_PERI0D(20.000))

DCMSPINST (

.CLKIN(CLK_50MHZ),

.CLKFB(bufged_50m),

.RST(1'b0),

.PSEN(1'b0),

.PSINCDEC(1'b0),

.PSCLK(1'b0),

.DSSEN(1'b0),

.CLK0(clknub),

.CLK90(),

.CLK180(),

.CLK270(),

.CLKDV(),

.CLK2X(),

.CLK2X180(),

.CLKFX(clkfx),

.CLKFX180(),

.STATUS(),

.LOCKED(locked),

.PSD0NE());

BUFG BG5 (.I(clknub),.0(bufged_50m));

BUFG BG6 (.I(clkfx),.0(bufged_200m));

*//

// Generate synchronous one-shot reset. //

*//

wire reset;

synchro #(.INITIALIZE("L0GIC1"))

synchro reset (.async(!locked),.sync(reset),.clk(bufged_50m));

reg [7:0] cnt;

always @ (posedge bufged_50m or posedge reset) if (reset) cnt<=0; else cnt< =cnt+1;

//---------------------------------------------1 peremennaya M111

reg [8:0] DA;

always @ (posedge bufged_50m or posedge reset) if (reset) DA<=0; else DA < = A2-A1;

reg [8:0] CA;

always @ (posedge bufged_50m or posedge reset) if (reset) CA<=0; else CA <= Fuzzy-A1;

reg [5:0] DU;

always @ (posedge bufged_50m or posedge reset) if (reset) DU<=0; else DU < = U2 - U1;

reg [14:0] PS1;

always @ (posedge bufged_50m or posedge reset) if (reset) PS1<=0; else PS1 <= DU * CA;

reg ce;

always @ (posedge bufged_50m or posedge reset) if (reset) ce<=0; else ce<=1;

//else if (cnt==8'd2) ce<=1; //else if (cnt==8'd30) ce<=0;

wire M1ready1;

wire [15:0] PF2;

wire [8:0] F11;

div15x9 div15x9_inst11 ( .clk(bufged_50m), // input clk .ce(ce), // input ce .rfd(M1ready1), // output rfd .dividend(PS1), // input [17: 0] dividend .divisor(DA), // input [9 : 0] divisor .quotient(PF2), // output [17: 0] quotient .fractional(F11)); // output [9 : 0] fractional

always @ (posedge bufged_50m or posedge reset) if (reset) Rezult<=0; else Rezult <= U1 + PF2[5:0];

endmodule

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.