Автоматизация высокоуровневого моделирования сетей на кристалле тема диссертации и автореферата по ВАК РФ 00.00.00, кандидат наук Американов Александр Александрович

  • Американов Александр Александрович
  • кандидат науккандидат наук
  • 2022, ФГАОУ ВО «Национальный исследовательский университет «Высшая школа экономики»
  • Специальность ВАК РФ00.00.00
  • Количество страниц 96
Американов Александр Александрович. Автоматизация высокоуровневого моделирования сетей на кристалле: дис. кандидат наук: 00.00.00 - Другие cпециальности. ФГАОУ ВО «Национальный исследовательский университет «Высшая школа экономики». 2022. 96 с.

Оглавление диссертации кандидат наук Американов Александр Александрович

Введение

1 Анализ классического цикла проектирования сетей на кристалле

1.1 Типовая схема проектирования сетей на кристалле

1.2 Выводы к главе

2 Классификация высокоуровневых моделей сетей на кристалле

2.1 Доступность в сети Интернет

2.2 Функциональная классификация

2.3 Языки программирования

2.4 Модифицируемость моделей

2.5 Поддерживаемые топологии сетей на кристалле

2.6 Методы коммутации

2.7 Архитектуры маршрутизаторов

2.8 Типы трафика

2.9 Алгоритмы маршрутизации

2.10 Алгоритмы арбитража

2.11 Области применения

2.12 Выводы к главе

3 Архитектура и алгоритм работы разрабатываемой САПР

3.1 Архитектура САПР

3.2 Алгоритм работы САПР

3.3 Топологический подход к проектированию сетей на кристалле

3.4 Модель UOCNS

3.4.1 Структура UOCNS

3.4.2 Настраиваемые параметры UOCNS

3.4.3 Вычисляемые с помощью UOCNS характеристики сетей на кристалле

3.4.4 Особенности UOCNS

3.5 Модель Newxim

3.6 Модель BookSim

3.7 Модель Dec9

3.8 Модель PyOCN

3.9 Выводы к главе

4 САПР для высокоуровневого моделирования сетей на кристалле

4.1 Автоматизация процесса высокоуровневого моделирования сетей

на кристалле

4.1.1 Компараторный метод

4.1.2 Метод параллельного запуска моделей

4.1.3 Метод дихотомии и метод Монте-Карло

4.1.4 Метод подобия

4.2 Выводы к главе

5 Апробация разработанной САПР

5.1 Модуль системы поддержки принятия решений для высокоуровневого моделирования

5.2 Апробация разработанной САПР в реальных задачах проектирования сетей на кристалле

5.3 Выводы к главе

Заключение

Список литературы

Введение

Рекомендованный список диссертаций по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Введение диссертации (часть автореферата) на тему «Автоматизация высокоуровневого моделирования сетей на кристалле»

Актуальность темы

В связи с постоянным ростом сложности решаемых задач и увеличением объема обрабатываемой информации, а также с целью уменьшения времени обработки информации, требования к производительности вычислительных систем постоянно растут. Однопроцессорные системы не всегда могут справиться с задачами, требующими высокой вычислительной производительности, и являются неэффективными при работе с большими потоками данных. Повышение производительности вычислительных систем путем увеличения плотности транзисторов больше невозможно, что привело к эволюции вычислительных систем на основе многоядерности и многопоточности, а также использованию специализированных вычислительных ядер и ускорителей вычислений. Ярким примером экстенсивного увеличения количества ядер на одном чипе является чип WSE2 от компании Cerebras. Данный чип выполнен по 7 нанометровому техпроцессу и состоит из 850000 вычислительных узлов. Также существует тенденция к замене громоздкой CISC архитектуры на сети из процессорных ядер RISC архитектуры. Из этого следует повышение требований к подсистеме связи для объединения множества гетерогенных ядер в одну систему - сеть на кристалле (СтнК).

Процесс проектирования СтнК можно разделить на несколько основных последовательных этапов: составление технического задания, предварительное проектирование, высокоуровневое (в/у) моделирование, низкоуровневое (н/у) моделирование, прототипирование или косимуляция, производство. На каждом этапе принято использовать различные САПР. Стоит отметить, что САПР может использоваться для всего процесса в целом или для проведенного отдельного этапа разработки.

Этап в/у моделирования позволяет отобрать ограниченное количество подходящих для дальнейшего проектирования наборов параметров и

характеристик сети, заданных на стадиях составления технического задания и проектирования СтнК. Ошибки на этапе в/у моделирования являются дорогостоящими, т.к. н/у моделирование представляет собой гораздо более длительный и трудоемкий процесс. Например, в/у моделирование сети на 100 узлов с помощью в/у модели OCNS (On-Chip Network Simulator) может занимать несколько минут, в то время как н/у моделирование той же сети в ModelSim - несколько дней.

Обычно на этапе в/у моделирования применяются имитационные модели. Имитационная модель СтнК - это модель, в которой описаны основные моделируемые элементы сети и заданы правила взаимодействия между ними. Как правило, в/у модели используются для описания процесса передачи данных в СтнК и получения предварительных оценок ее характеристик при заданных параметрах. Процесс передачи данных является критически важным элементом функционирования сети. На этапе в/у моделирования точностью представления некоторых аспектов функционирования сети можно пренебречь, увеличив скорость расчета характеристик.

Следует также отметить, что различные в/у модели решают разные, зачастую узкоспециализированные, задачи; существует множество различных средств автоматизации моделирования СтнК и других вспомогательных средств, созданных разными разработчиками.

В практике проектирования нет единого универсального подхода, объединяющего различные подходы в реализации систем автоматизации проектирования СтнК на уровне архитектуры, который бы позволил реализовать принцип сквозного проектирования СтнК, где на разных этапах разработки применялись бы различные модели и средства автоматизации проектирования, и при этом была обеспечена их совместимость за счет универсальных интерфейсов и представления данных. Также стоит отметить, что передача данных между этапами в/у и н/у моделирования в основном выполняется вручную, что приводит к увеличению трудозатрат и ошибок.

Таким образом, разработка точных и высокопроизводительных в/у моделей СтнК, а также единых средств обработки результатов их работы и автоматизации процесса в/у моделирования являются важной и актуальной научно-практической задачей.

Степень разработанности темы

Значительный вклад в развитие в/у моделирования сделали такие известные зарубежные научные коллективы и ученные, как: A. Colaso, P. Fidalgo, J. Gregorio, L. Menezo, P. Prieto, V. Puente (разработчики модели TOPAZ [1]; M. Jones (разработчик модели NoCsim [2]); M. Ahmed, M.M. Akbar, A. Al-Nayeem, H. Hossain, T.Z. Islam (разработчики модели gpNoCsim [3]); и др. Из русских коллективов, работающих над проблемой в/у моделирования, можно выделить: Н.М. Мячина, А.Ю. Романова, А.М. Сухова (разработчиков модели NewXim [4]), а также А.Ю. Романов является разработчиком модели UOCNS [5]. В области проектирования СтнК также работают: Д.М. Альфонсо [6], С.О. Быков [V], А.Д. Иванников [8-9], А.С. Камкин [10], А.С. Кожин [11], Е.С. Кожин [12], Е. Короткий [13],

A.Н. Лысенко [14], О.Г. Монахов [15], Э.А. Монахова [15], Ю.А. Недбайло [16], А.Л. Переверзев [1V], Ю.В. Савченко [18], Ю.Х. Сахин [19], Е.А. Суворова [20], С.Р. Тумковский [21],

B.Г. Хорошевский [22], Д.И. Шпагилев [23].

Из зарубежных коллег, работающих в области проектирования СтнК, можно выделить: N. Bagherzadeh [24-25], L. Benini [26-27], D. Bertozzi [2829], S. Chattopadhyay [30], M. Daneshtalab [31], G. De Micheli [32-33], M. Ebrahimi [34], K. Goossens [35], H.X. Gu [36], A. Jantsch [3V], P. Liljeberg [34], W.C. Liu [38], A. Louri [39], Z.H. Lu [40], R. Marculescu [41], S. Murali [28], M. Palesi [42], P.P. Pande [43], S. Pasricha [44], J. Plosila [45], H. Sarbazi-azad [46], H. Tenhunen [34], K. Wang [39], N. Wu [4V], L. Yang [48], M. Yang [49], Y.T. Yang [50], L. Zhang [51], H. Zheng [52].

Обычно на этапе в/у моделирования применяются имитационные модели. Имитационная модель СтнК - это модель, в которой описаны

основные моделируемые элементы сети и заданы правила взаимодействия между ними. Как правило, в/у модели используются для описания процесса передачи данных в СтнК и получения предварительных оценок ее характеристик при заданных параметрах. Процесс передачи данных является критически важным элементом функционирования сети. На этапе в/у моделирования точностью представления некоторых аспектов функционирования сети можно пренебречь, увеличив скорость расчета характеристик.

Анализируя степень разработанности темы данного исследования необходимо отметить, что в настоящее время существует множество в/у моделей, предлагаемых к практическому использованию для моделирования СтнК. Автором работы исследовано более 100 различных реализаций в/у моделей, которые могут применяться для СтнК. Они отличаются по функциональному назначению, языкам разработки, модифицируемости, поддерживаемым топологиям СтнК, методам коммутации пакетов данных, архитектурам маршрутизаторов, типам трафика, поддерживаемым алгоритмам маршрутизации, алгоритмам арбитража трафика, областями применения результатов моделирования и еще множеству параметров. В настоящее время кажущееся многообразие не привело к появлению какой-либо универсальной модели, которая поддерживала бы все виды характеристик СтнК и выполняла бы их расчет. Обычно модель подбирается в зависимости от технических требований и решений, принятых на этапе проектирования. При этом большинство средств моделирования СтнК не имеют каких-либо встроенных инструментов автоматизации расчетов (например, возможности запуска нескольких моделей одновременно или автоматического подбора параметров в зависимости от целей моделирования).

Из вышесказанного следует необходимость в создании новых инструментов и методов автоматизации в/у моделирования СтнК, а также создания единых средств автоматизации проектирования для проведения

исследования характеристик и разработки СтнК. Т.е. существует потребность в создании САПР, которая имела бы возможность объединять и позволяла бы сравнивать несколько в/у моделей и результаты их работы, тем самым увеличивая точность моделирования. Также объединение множества в/у моделей в единой САПР позволит сократить время моделирования путем применения различных методов оптимизации при поиске различных параметров СтнК.

Таким образом, проблема, решаемая в данном диссертационном исследовании, заключается в отсутствии САПР, которая позволила бы объединить множества существующих разнородных в/у моделей СтнК в рамках единой среды проектирования, проводить оценку достоверности результатов, а также сохранять результаты предыдущих циклов моделирования. Это определяет объект и предмет исследования:

Объектом исследования является процесс автоматизированного проектирования СтнК.

Предметом исследования является в/у моделирование СтнК.

Цель и задачи исследования

Целью исследования является повышение точности, скорости получения и воспроизводимости результатов в/у моделирования за счет объединения различных в/у моделей передачи данных в СтнК в единой САПР, путем разработки средств автоматизации и анализа результатов в/у моделирования СтнК.

Цель достигается последовательным решением следующих логически увязанных задач:

- Анализ классического цикла проектирования СтнК, обоснование методики сквозного проектирования СтнК для согласования этапов в/у и н/у компьютерного моделирования;

- Разработка методики синтеза и модификации в/у имитационных компьютерных моделей, объединяющей их в единую САПР СтнК;

- Разработка новой архитектуры САПР, отличающейся от существующих применением методологии сквозного проектирования для передачи данных между в/у и н/у этапами компьютерного моделирования, наличием бесшовного интерфейса для работы с различными в/у моделями и системой поддержки принятия решений (СППР);

- Создание прикладного программного обеспечения, представляющего собой САПР, поддерживающую множественный запуск моделей, сравнение и унифицированную обработку результатов в/у моделирования;

- Разработка СППР на основе анализа влияния различных параметров СтнК на их итоговую производительность;

- Апробация и внедрение результатов исследования на примере решения задачи анализа влияния различных входных параметров моделирования на выходные характеристики СтнК.

Методология и методы исследования

Диссертационное исследование базируется на методах имитационного моделирования, параллельных вычислений, Монте-Карло, бинарного поиска, структурного и объектно-ориентированного программирования, статистической обработки и компаративного анализа данных.

Соответствие результатов исследования паспорту специальности

Результаты исследования соответствуют пп. 1-3, 6, 8 паспорта специальности 2.3.7 - «Компьютерное моделирование и автоматизация проектирования», группы научных специальностей 2.3 - «Информационные технологии и телекоммуникации».

Научная новизна исследования заключается в том, что разработаны:

1. Методика сквозного проектирования СтнК, отличающаяся от других автоматизированной передачей данных между в/у и н/у этапами моделирования и позволяющая сократить время передачи данных между этапами моделирования на 80 %;

2. Архитектура САПР, отличающаяся от других тем, что позволяет объединить в себе множество в/у моделей, осуществить их множественный запуск на основе многопоточности, а также выполнять анализ и унифицированную обработку результатов моделирования, за счет чего повысить скорость моделирования до 3 раз;

3. Впервые предложено использование комбинации методов дихотомии, Монте-Карло, восходящего увеличения точности и подобия для поиска параметров СтнК, что позволило сократить количество запусков моделирования до 8 раз;

4. Впервые разработана автоматизированная СППР, основанная на кластеризации данных и поддерживающая принятие многокритериальных решений на основе заданных пользователем параметров используемых моделей и ограничений для в/у моделирования СтнК.

Теоретическая значимость и практическая полезность

Теоретическая значимость исследования состоит в развитии теории автоматизации проектирования СтнК.

Практическая полезность заключается в том, что:

- Разработано программное обеспечение, позволяющее синтезировать задание для н/у моделирования на основе результатов в/у моделирования;

- Разработана методика добавления моделей в САПР в/у моделирования, что позволяет добавлять неограниченное количество новых моделей в САПР и расширять его функциональность. В рамках диссертации добавлено 6 в/у моделей, обеспечивающих исследование всех основных характеристик СтнК;

- Разработанное математическое обеспечения в составе САПР позволяет увеличить точность до 5 раз и повысить скорость моделирования до 6 раз.

Личный вклад автора

Все результаты и положения, выносимые на защиту, получены автором лично. На основе глубокого и всестороннего обзора и анализа предметной области, изучения источников литературы и особенностей процесса проектирования СтнК выявлена проблема в отсутствии САПР для в/у моделирования СтнК и методов оптимизации процесса моделирования для уменьшения временных затрат и увеличения точности моделирования.

Автором лично сформулированы объект исследования, выявлена проблема и раскрыт предмет исследования как описание средств для решения научной задачи автоматизации этапа в/у моделирования при проектировании СтнК.

Поставлена цель работы и определен логически увязанный комплекс задач для ее достижения, при решении которых автором лично получены новые научные результаты, имеющие важное научное и практическое значение для отрасли проектирования электроники.

Личный вклад автора также отражен в достаточном количестве публикаций в рецензируемых и индексируемых изданиях.

Достоверность и обоснованность полученных результатов подтверждается корректностью постановки задачи и применяемых методов исследования, согласованностью результатов экспериментов с применением современных и широко апробированных средств проектирования и математического моделирования.

Достоверность также подтверждается апробацией основных результатов работы на протяжении ряда лет на многих всероссийских и международных конференциях и публикациями в общедоступных рецензируемых изданиях, индексируемых в международных и отечественных базах цитирования WoS, Scopus и РИНЦ. Предлагаемые в диссертации новые результаты внедрены в учебный процесс МИЭМ НИУ ВШЭ, нашли применение в исследовательских проектах ЦФИ НИУ ВШЭ и в проектных работах МИЭМ НИУ ВШЭ, что подтверждается соответствующими актами:

- Акт о внедрении в учебный процесс департамента компьютерной инженерии Московского института электроники и математики Национального исследовательского университета «Высшая школа экономики». Внедрение результатов диссертационной работы позволило использовать в учебной и научной деятельности студентов департамента компьютерной инженерии современные теоретические и практические разработки в области моделирования сетей на кристалле. Разработанная САПР используется для изучения студентами СтнК различных конфигураций и применения теоретических знаний в области теории графов в практике исследования и разработки алгоритмов маршрутизации для различных топологий;

- Акт об использовании результатов диссертации в проектной работе «Аппаратно-программный комплекс для обучения в режиме удаленного доступа к лабораторному оборудованию». Результаты диссертационной работы используются в части лабораторных работ, адаптированных для выполнения на оборудовании лаборатории САПР в удаленном режиме, что открывает новые возможности для изучения сетей на кристалле.

- Исследовательский проект ЦФИ НИУ ВШЭ «Синтез циркулянтных топологий для применения в сетях на кристалле», рег. № НИОКТР АААА-А18-118051690145-1, 01.02.2018-29.12.2018;

- Исследовательский проект ЦФИ НИУ ВШЭ «Моделирование сетей на кристалле с подсистемой связи на основе циркулянтных топологий», рег. № НИОКТР АААА-А19-119061490099-1, 01.02.2019-31.12.2019;

- Исследовательский проект ЦФИ НИУ ВШЭ «Разработка гибридной модели для проектирования и симуляции сетей на кристалле», рег. № НИОКТР АААА-А20-120070390136-2, 03.02.2020-31-31.12.2020;

- Исследовательский проект ЦФИ НИУ ВШЭ «Разработка алгоритмов маршрутизации в сетях на кристалле», рег. № НИОКТР 121051100322-4, 01.02.2021-31.12.2021.

Апробация результатов

Основные результаты диссертационного исследования докладывались и обсуждались на всероссийских и международных конференциях:

1. Moscow Workshop on Electronic and Networking Technologies (MWENT), г. Москва, Россия, 9-11 июня 2022 г., доклад «Automation of NoC throughput search in high-level modeling».

2. International Russian Automation Conference (RusAutoCon), г. Сочи, Россия, 5-11 сентября 2021 г., доклад «Universal On-Chip Network Simulator for Networks-on-Chip Development».

3. Всероссийская научно-техническая конференция «Проблемы разработки перспективных микро- и наноэлектронных систем (МЭС)», г. Зеленоград, ИППМ РАН, 1 марта - 1 ноября 2021 г., доклад «Автоматизация высокоуровневого моделирования сетей на кристалле»;

4. Научно-техническая конференция студентов, аспирантов и молодых специалистов НИУ ВШЭ им. Е.В. Арменского, г. Москва, НИУ ВШЭ, 18-28 февраля 2019 г., доклад «Разработка алгоритма маршрутизации в циркулянтах третьего порядка»;

5. VII International Scientific and Practical Conference «Actual Problems of Systems and Software Engineering (APSSE-2021)», г. Москва, Россия, 12-14 ноября 2019 г., доклад «Modification of the BookSim simulator for modeling networks-on-chip based on two dimensional circulant topologies».

Результаты диссертационного исследования отражены в 1 2 работах, из них 7 работ проиндексированы в международных наукометрических базах (WoS, Scopus, IEEE Xplore).

Структура диссертации. Диссертация состоит из введения, 5 глав, заключения, списка использованных источников из 186 наименований. Объем работы составляет 96 страниц, из которых - 74 страниц основного текста (30 рисунков и 4 таблицы), список использованных источников на 22 страницах.

1 Анализ классического цикла проектирования сетей на кристалле

Для проектирования сложных многоядерных систем все чаще используют архитектурные решения из области СтнК. СтнК -многопроцессорные системы на кристалле, где роль вычислительных узлов выполняют сложно функциональные блоки (СФ-блоки), которые связаны короткими соединениями на чипе для обмена информацией. На рисунке 1 изображена структура СтнК.

пгп р ГГ1. р ргн

г

м м

СИ

СФ-блок

СИ

м м

СФ-блок

СИ СИ

СФ-блок СФ-блок

Сложно-функциональный блок

М

Маршрутизатор Соединение

СИ Сетевой интерфейс —

Рисунок 1 - Структура СтнК

Стоит отметить особенности СтнК:

- Ограниченность набора средств автоматизации основных этапов проектирования;

- Невозможность полного отображения алгоритмов, методов и протоколов маршрутизации, применяемых в классических сетях.

Процесс проектирования СтнК укрупненно можно разделить на следующие этапы [2]:

1. Составление технического задания;

2. Проектирование;

3. В/у моделирование;

4. Н/у моделирование;

5. Прототипирование или косимуляция;

6. Этап производства.

1.1 Типовая схема проектирования сетей на кристалле

На рисунке 2 приведена типовая схема проектирования СтнК. Сплошными стрелками на схеме показаны переходы к следующим этапам проектирования. Ошибки на разных этапах проектирования вынуждают разработчика возвращаться на предыдущий этап разработки (что показано пунктирными стрелками на схеме).

Рисунок 2 - Типовая схема проектирования СтнК

Разберем этапы проектирования СтнК более подробно. На этапе составления технического задания определяются желаемые характеристики СтнК. На этом этапе нужно учитывать:

1. Ограничение аппаратных ресурсов;

2. Формат данных передаваемых в СтнК между вычислительными ядрами;

3. Область применения СтнК.

На этапе проектирования происходит:

1. Выбор топологии;

2. Выбор типа маршрутизации (детерминированная/адаптивная);

3. Выбор типа данных, передаваемых между узлами;

4. Тип маршрутизаторов и вычислительных ядер.

Важным этапом проектирования является в/у моделирование. Данный этап позволяет отобрать ограниченное количество подходящих для дальнейшего проектирования наборов параметров и характеристик сети, выбранных на стадии проектирования СтнК. Основные оцениваемые параметры:

- Максимальный/минимальный путь пакета;

- Отказоустойчивость (для адаптивных алгоритмов);

- Гарантированное время доставки пакетов;

- Максимальная пропускная способность сети;

- Устойчивость к блокировкам (дедлокам, лайвлокам);

- Пропускная способность;

- Загруженность буферов;

- Энергозатратность.

Ввиду большого разнообразия входных и выходных характеристик, определенная в/у модель может не иметь возможности расчета некоторых параметров. Тогда разработчик вынужден использовать для в/у моделирования несколько моделей, что увеличивает время моделирования, т.к. каких-либо инструментов, позволяющих провести совместное моделирование несколькими моделями в рамках единой САПР, не существует.

Н/у моделирование является более тщательной проверкой выходных характеристик СтнК. Н/у моделирование позволяет оценить:

- Затраты аппаратных ресурсов;

- Более точно, чем при в/у моделировании, пропускную

способность (и другие в/у показатели);

- Наличие ошибок при маршрутизации.

Несмотря на то, что входные параметры СтнК определяются на этапе проектирования и являются одинаковыми для н/у и в/у моделирования, проведенный анализ САПР для проектирования СтнК показал, что не существует САПР, которая способна формировать задания для н/у этапа моделирования, основываясь на данных введенных разработчиком для в/у моделирования.

Этап прототипирования на ПЛИС либо косимуляция помогает:

- Оценить аппаратные ресурсы сети;

- Оценить частоту работы сети;

- Определить работоспособность СтнК (нагрузочное тестирование).

Этап производства является финальным этапом, после которого СтнК реализуется на ПЛИС или производится в виде заказной микросхемы.

Данная диссертационная работа относится к этапу в/у моделирования. На этом этапе с помощью специальных в/у моделей, по выбранным на этапе проектирования характеристикам и параметрам, производится подсчет выходных характеристик той или иной сети. Нужно отметить, что ошибки на этапе в/у моделирования являются дорогостоящими, т.к. низкоуровневое моделирование гораздо более длительный и трудоемкий процесс. Например, из работы [53] следует, что в/у моделирование сети на 100 узлов с помощью модели OCNS (On-Chip Network Simulator) [21] занимает несколько минут, в то время как низкоуровневое моделирование той же сети с использованием Netmaker [54] - несколько дней.

1.2 Выводы к главе 1

Таким образом, в/у моделирование является важным этапом проектирования СтнК, а цена ошибки на этом этапе очень высока, поскольку приводит к избыточному проведению н/у моделирования, которое на несколько порядков более затратно по времени, чем в/у моделирование.

Также стоит отметить, что ввиду большого разнообразия входных и выходных параметров, требуется провести исчерпывающий обзор,

классификацию и анализ различных в/у моделей с целью создания универсального решения для в/у анализа СтнК, которое бы поддерживало все виды входных характеристик, и имеющего средства автоматизации и обработки результатов. Также важным является создание бесшовной инфраструктуры для передачи данных, полученных при в/у моделировании, на следующий этап - н/у моделирование, и обратно, в случае получения неудовлетворяющих техническому заданию результатов н/у моделирования.

2 Классификация высокоуровневых моделей сетей на кристалле

Теория СтнК за последние десятилетия развивается нарастающими темпами. Если ранее ключевыми были книги Axel Jantsch [55], Luca Benini [33], William James Dally [56], а моделей СтнК в открытом доступе были единицы [54], то сейчас количество публикаций и открытых разработок по тематике проектирования СтнК стало в разы больше. В результате современному исследователю становится достаточно проблематично ориентироваться в различных идеях и подходах, предлагаемых другими авторами. При этом достойных обзорных работ, где были бы собраны в сжатом и структурированном виде современные достижения в СтнК довольно мало. Есть ряд университетских курсов [57] и статей [27-28], дающих общий обзор тематики СтнК. Но этого мало, а подробных обзоров из каких-то отдельных областей проектирования СтнК, как, например, это сделано в статье [60] в виде классификации алгоритмов маршрутизации, не хватает вовсе. Это касается и классификации в/у моделей СтнК, попытка которой сделана в работе [61], но ее совершенно не достаточно. Требуется исчерпывающие обзоры и классификации подходов, используемых в других областях проектирования СтнК.

Для того, чтобы преодолеть недостаток такой обзорной работы в области в/у моделирования СтнК, нами произведено исследование более 100 моделей. Информация о них собрана в сводную таблицу [62], что позволило в одном месте в компактном и структурированном виде собрать информацию по предметной области в/у моделей СтнК. Это позволило выделить различные признаки моделей, что является основой классификации данных моделей, выполненной далее.

2.1 Доступность в сети Интернет

В последние десятилетия популярность СтнК возросла, и все больше людей пытаются внести свой вклад в изучение и разработку данного направления. Среди рассмотренных моделей СтнК есть модели в открытом

доступе, то есть для них имеется исчерпывающая документация или же исходный код, но есть и модели, доступ к которым ограничен (рисунок 3).

Рисунок 3 - Доступность моделей в сети Интернет

Если разделить все исследованные модели в зависимости от доступности в сети Интернет, то получится четыре основных группы. Первая группа моделей имеет открытый исходный код, в основном они расположены в репозитарии github.com. К этой категории можно отнести, например, модели [2, 42, 63-87]. Вторая группа в/у моделей СтнК имеет только документацию в виде научных статей или глав в книгах. К таким моделям относятся, например, [27, 32, 45, 88-100]. Третья категория - это модели, у которых и документация и исходный код находятся в открытом доступе (например, [1, 50, 101-122]).

Похожие диссертационные работы по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Список литературы диссертационного исследования кандидат наук Американов Александр Александрович, 2022 год

Список литературы

1. Abad, P. TOPAZ: An open-source interconnection network simulator for chip multiprocessors and supercomputers / P. Abad, P. Prieto, L.G. Menezo, et al. // Proceedings of the 2012 6th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2012. - 2012. - P. 99-106.

2. Jones, M. NoCsim: a versatile network on chip simulator / M. Jones. - The University of British Columbia, 2005. - 113 p.

3. Hossain, H. gpNoCsim - A general purpose simulator for network-on-chip / H. Hossain, M. Ahmed, A. Al-Nayeem, et al. // ICICT 2007: Proceedings of International Conference on Information and Communication Technology.

- 2007. - P. 254-257.

4. Myachin N. Newxim. Network-onChip Simulator [Электронный реурс] / N. Myachin, A. Romanov. - Режим доступа: https://github.com/Wertual08/ newxim.

5. Romanov, A. Yu., UOCNS-SE: Universal On-Chip Network Simulator Server Edition [Электронный реурс] / A. Yu. Romanov, M. A. Stepanov.

- Режим доступа: https://github.com/RomeoMe5/UOCNS-SE.

6. Альфонсо, Д.М. Микроархитектура восьмиядерного универсального микропроцессора «Эльбрус^О» / Д.М. Альфонсо, Р.В. Деменко, А.С. Кожин, и др. // Вопросы радиоэлектроники. - 2016. - Т. 4. - № 3. - С. 6-13.

7. Быков, С.О. Автоматизация проектирования сетей-на-кристалле со специализированной топологией / С.О. Быков // Проблемы разработки перспективных микро-и наноэлектронных систем-2014. - 2014. - № 2.

- С. 181-182.

8. Иванников, А.Д. Методы декомпозиции задачи отладки проекта цифровых систем с помощью моделирования / А.Д. Иванников // Вестник Рязанского государственного радиотехнического университета. - 2014.

- № 49. - С. 73-76.

9. Иванников, А.Д. Отладка проектов цифровых систем с использованим прототипирования / А.Д. Иванников // Инженерный вестник Дона. - 2021.

- № 2 (74). - С. 150-159.

10. Chupilko, M.M. Test Generation for Digital Hardware Based on High-Level Models / M.M. Chupilko, A.S. Kamkin, M.S. Lebedev, S.A. Smolov // Trudy ISP RAN/Proc. ISP RAS. - Vol. 29. - No. 4. - P. 247-256.

11. Кожин, Е.С. Сеть-на-кристалле нового поколения микропроцессоров с архитектурой «Эльбрус» / Е.С. Кожин, А.С. Кожин // Вестник Концерна ВКО Алмаз-Антей. - 2021. - Т. 1. - № 36. - С. 103-109.

12. Кожин, Е.С. Топология сети-на-кристалле микропроцессора Эльбрус-16С / Е.С. Кожин, А.С. Кожин // Труды 63-й Всероссийской научной конференции МФТИ. - 2020. - С. 22-23.

13. Korotkyi, I. Hardware implementation of link aggregation in networks-on-chip / I. Korotkyi, O. Lysenko // 2011 World Congress on Information and Communication Technologies. - IEEE, 2011. - P. 1112-1117.

14. Korotkyi, I. Behavioral Model for Integrated Router with Link Aggregation / I. Korotkyi, O. Lysenko // ELNANO 2012 Electronics and Nanotechnology.

- 2012. - P. 36-37.

15. Monakhova, E.A. Regular Network Class Features Enhancement Using an Evolutionary Synthesis Algorithm. / E.A. Monakhova, O.G. Monahov // Science & Education of Bauman MSTU. - 2014. - Vol. 10. - P. 273-283.

16. Недбайло, Ю.А. Разработка сети на кристалле для перспективных многоядерных микропроцессоров / Ю.А. Недбайло // Труды Московского физико-технического института. - 2017. - Т. 9. -№ 2 (34). - С. 151-163.

17. Переверзев, А.Л. Концептуальная модель и методика проектирования интегрированных информационно-вычислительных систем на основе масштабируемой архитектуры / А.Л. Переверзев // Оборонный комплекс-научно-техническому прогрессу России. - 2013. - № 1. - С. 83-89.

18. Савченко, Ю.В. Архитектура универсального аппаратно-программного комплекса для отладки и тестирования интегрированных информационно -вычислительных систем / Ю.В. Савченко, А.Л. Переверзев, А.А. Кудров // Оборонный комплекс-научно-техническому прогрессу России. - 2013.

- № 2. - С. 3-7.

19. Кожин, А.С. Коммутация соединений процессорных ядер с общим кэшем третьего уровня микропроцессора «Эльбрус-4С+» / А.С. Кожин, Ю.Х. Сахин // Вопросы радиоэлектроники. - 2016. - № 4. - С. 6-13.

20. Joseph, J.M. Ratatoskr: An Open-Source Framework for In-Depth Power, Performance, and Area Analysis and Optimization in 3D NoCs / J.M. Joseph, L. Bamberg, I. Hajjar, et al. // ACM Transactions on Modeling and Computer Simulation. - 2022. - Vol. 32. - No. 1. - P. 1-21.

21. Романов, А.Ю. Моделирование сетей на кристалле на основе регулярных и квазиоптимальных топологий с помощью симулятора OCNS / А.Ю. Романов, С.Р. Тумковский, Г.А. Иванова // Вестник Рязанского государственного радиотехнического университета. - 2015. - № 2 (52). -С. 56-88.

22. Хорошевский, В.Г. Распределённые вычислительные системы с программируемой структурой / В.Г. Хорошевский // Вестник СибГУТИ.

- 2010. - № 2. - С. 3-41.

23. Кожин, А.С. Исследование топологий сетей на кристалле многоядерных процессоров с архитектурой «Эльбрус» / А.С. Кожин, Е.С. Кожин, Д.И. Шпагилев // Электроника: Наука, технология, бизнес.

- 2020. - № 7. - С. 132-136.

24. Eghbal, A. Analytical Fault Tolerance Assessment and Metrics for TSV-Based 3D Network-on-Chip / A. Eghbal, P.M. Yaghini, N. Bagherzadeh, M. Khayambashi // IEEE Transactions on Computers. - 2015. - Vol. 64. - No. 12.

- P. 3591-3604.

25. De Micheli, G. Networks on Chips: 15 Years Later / G. De Micheli, L. Benini // Computer. - 2017. - Vol. 50. - No. 5. - P. 10-11.

26. Hu, W.H. DMesh: a diagonally-linked mesh network-on-chip architecture / W.H. Hu, S.E. Lee, N. Bagherzadeh // Network on Chip Architectures. - 2008.

- P. 14-20.

27. Kakoee, M.R. ReliNoC: A reliable network for priority-based on-chip communication / M.R. Kakoee, V. Bertacco, L. Benini // 2011 Design, Automation & Test in Europe. - IEEE, 2011. - P. 1-6.

28. Bertozzi, D. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip / D. Bertozzi, A. Jalabert, S. Murali, et al. // IEEE Transactions on Parallel and Distributed Systems. - 2005. - Vol. 16. - No. 2.

- P. 113-129.

29. Castillo, E.V. Dynamically Reconfigurable NoC using a deadlock-free flexible routing algorithm with a low hardware implementation cost / E.V. Castillo, W.J. Chau, G. Miorandi, D. Bertozzi // 2015 IEEE 6th Latin American Symposium on Circuits and Systems, LASCAS 2015 - Conference Proceedings. - 2015.

- P. 1-4.

30. Kundu, S. Network-on-Chip / S. Kundu, S. Chattopadhyay. - CRC Press, 2018. - 388 p.

31. Ebrahimi, M. Learning-Based Routing Algorithms for On-Chip Networks / M. Ebrahimi, M. Daneshtalab // Routing Algorithms in Networks-on-Chip.

- Springer, New York, NY, 2014. - P. 105-125.

32. Murali, S. SUNMAP: a tool for automatic topology selection and generation for NoCs / S. Murali, G. De Micheli // Proceedings of the 41st annual conference on Design automation - DAC '04. - New York, USA: IEEE, 2004. - P. 914-919.

33. De Micheli, G. Networks on Chips. First Edition / G. De Micheli, L. Benini. - Morgan Kaufmann, 2006. - 408 p.

34. Ebrahimi, M. Path-based partitioning methods for 3D networks-on-chip with minimal adaptive routing / M. Ebrahimi, M. Daneshtalab, P. Liljeberg, et al. // IEEE Transactions on Computers. - 2014. - Vol. 63. - No. 3. - P. 718-733.

35. Goossens, K. AEthereal network on chip: Concepts, architectures, and implementations / K. Goossens, J. Dielissen, A. Radulescu // IEEE Design and Test of Computers. - 2005. - Vol. 22. - No. 5. - P. 414-421.

36. Liu, F. Performance study of virtual-channel router for Network-on-Chip / F. Liu, H. Gu, Y. Yang // 2010 International Conference on Computer Design and Applications, ICCDA 2010. - IEEE, 2010. - Vol. 5. - P. V5-255-V5-259.

37. Radetzki, M. Methods for fault tolerance in networks-on-chip / M. Radetzki,

C. Feng, X. Zhao, A. Jantsch // ACM Computing Surveys. - 2013. - Vol. 46. - No. 1. - P. 1-38.

38. Liu, W. A NoC traffic suite based on real applications / W. Liu, J. Xu, X. Wu, et al. // Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011. - 2011. - P. 66-71.

39. Wang, K. TSA-NoC: Learning-Based Threat Detection and Mitigation for Secure Network-on-Chip Architecture / K. Wang, H. Zheng, A. Louri // IEEE Micro. - 2020. - Vol. 40. - No. 5. - P. 56-63.

40. Lu, Z. Using Wormhole Switching for Networks on Chip: Feasibility Analysis and Microarchitecture Adaptation / Z. Lu. - Royal Institute of Technology, 2005. - 70 p.

41. Ogras, U. Communication architecture optimization: making the shortest path shorter in regular networks-on-chip / U. Ogras, R. Marculescu, H.G. Lee, N. Chang // Proceedings of the Design Automation & Test in Europe Conference. - 2006. - P. 154-159.

42. Palesi, M. Noxim - the noc simulator. [Электронный ресурс] / M. Palesi,

D. Patti, F. Fazzino. - Режим доступа: https://github.com/davidepatti/noxim.

43. Majumder, T. NoC-based hardware accelerator for breakpoint phylogeny / T. Majumder, S. Sarkar, P.P. Pande, A. Kalyanaraman // IEEE Transactions on Computers. - 2012. - Vol. 61. - No. 6. - P. 857-869.

44. Pasricha, S. OPAL: A multi-layer hybrid photonic NoC for 3D ICs / S. Pasricha, S. Bahirat // Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. - 2011. - P. 345-350.

45. Guang, L. HLS-DoNoC: High-level simulator for dynamically organizational NoCs / L. Guang, E. Nigussie, J. Plosila, et al. // Proceedings of the 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2012. - 2012. - P. 89-94.

46. Farahabady, M.H. Some topological and combinatorial properties of WK-recursive mesh and WK-pyramid interconnection networks / M.H. Farahabady, N. Imani, H. Sarbazi-Azad // Journal of Systems Architecture.

- 2008. - Vol. 54. - No. 10. - P. 967-976.

47. Zheng, J. DFSB-based thermal management scheme for 3-D NoC-bus architectures / J. Zheng, N. Wu, L. Zhou, et al. // IEEE Transactions on Very Large Scale Integration (VLSI) Systems. - 2016. - Vol. 24. - No. 3. - P. 920-931.

48. Yang, L. Task Mapping on SMART NoC: Contention Matters, Not the Distance / L. Yang, W. Liu, P. Chen, et al. // Proceedings - Design Automation Conference. - 2017. - P. 1-6.

49. Tiwari, B. Data streaming and traffic gathering in mesh-based NoC for deep neural network acceleration / B. Tiwari, M. Yang, X. Wang, Y. Jiang // Journal of Systems Architecture. - 2022. - Vol. 126. - P. 1-12.

50. Tan, C. PyOCN: A unified framework for modeling, testing, and evaluating on-chip networks / C. Tan, Y. Ou, S. Jiang, et al. // Proceedings - 2019 IEEE International Conference on Computer Design, ICCD 2019. - 2019. - P. 437-445.

51. Zhang, L. On topology reconfiguration for defect-tolerant NoC-Based homogeneous manycore systems / L. Zhang, Y. Han, Q. Xu, et al. // IEEE Transactions on Very Large Scale Integration (VLSI) Systems. - 2009.

- Vol. 17. - No. 9. - P. 1173-1186.

52. Zheng, H. Adapt-NoC: A Flexible Network-on-Chip Design for Heterogeneous Manycore Architectures / H. Zheng, K. Wang, A. Louri // Proceedings - International Symposium on High-Performance Computer Architecture. - 2021. - Vol. 2021-February. - P. 723-735.

53. Romanov, A. SystemC Language Usage as the Alternative to the HDL and High-level Modeling for NoC Simulation / A. Romanov, A. Ivannikov // International Journal of Embedded and Real-Time Communication Systems.

- 2018. - Vol. 9. - No. 2. - P. 18-31.

54. Romanov, O. The comparative analysis of the efficiency of regular and pseudo-optimal topologies of networks-on-chip based on Netmaker / O. Romanov, O. Lysenko // 2012 Mediterranean Conference on Embedded Computing (MECO).

- IEEE, 2012. - P. 13-16.

55. Jantsch, A. Networks on Chip. Networks Chip / A. Jantsch, H. Tenhunen. -Boston, MA : Springer US, 2003. - 312 p.

56. Dally, W.J. Principles and Practices of Interconnection Networks / W.J. Dally, B.P. Towles. - Elsevier, 2003. - 581 p.

57. Balasubramonian, R. CS6810 Lectures [Электронный ресурс]. -Режим доступа: https://www.youtube.com/playlist?list=PL8EC1756A7B1764F6.

58. Bjerregaard, T. A survey of research and practices of Network-on-chip / T. Bjerregaard, S. Mahadevan // ACM Computing Surveys. - 2006. - Vol. 38.

- No. 1. - P. 1-50.

59. Jerger, N.E. On-Chip Networks / N.E. Jerger, L.-S. Peh // Synthesis Lectures on Computer Architecture. - 2009. - Vol. 4. - P. 1-141.

60. Benmessaoud Gabis, A. NoC routing protocols - objective-based classification / A. Benmessaoud Gabis, M. Koudil // Journal of Systems Architecture. - 2016. - Vol. 66-67. - P. 14-32.

61. Прилепко, П.М. Модификация высокоуровневой модели NoCModel 2.0 для моделирования сетей на кристалле с циркулянтными топологиями / П.М. Прилепко, А.Ю. Романов, Е.В. Лежнев // Проблемы разработки перспективных микро- и наноэлектронных систем. - 2020. - № 4. - С. 23-20.

62. Romanov, A.Y. NoC_High_Level_Models_2020 [Электронный ресурс] / A.Y. Romanov, A.A. Opekunova. - Режим доступа: https: //tinyurl. com/Romanov

- NoC -models.

63. Mohamed, M. HERMES: A Hierarchical Broadcast-Based Silicon Photonic Interconnect for Scalable Many-Core Systems / M. Mohamed, Z. Li, X. Chen, A. Mickelson // arXiv. - 2014. - P. 1-10.

64. HotSpot: an accurate and fast thermal model suitable for use in architectural studies [Электронный ресурс]. - Режим доступа: http://lava.cs.virginia.edu/ HotSpot/.

65. Gawlowicz, P. Github: ns3-gym - a framework that integrates both OpenAI Gym and ns-3 in order to encourage usage of RL in networking research [Электронный ресурс] / P. Gawlowicz, A. Zubow. - Режим доступа: https: //github .com/tkn-tub/ns3 - gym.

66. Martin, R. Github: aqua-sim-ng - underwater network simulator [Электронный ресурс] / R. Martin, Z. Peng. - Режим доступа: https://github .com/rmartin5/aqua-sim-ng.

67. Github: worm_sim [Электронный ресурс] // Carnegie Mellon University.

- Режим доступа: https://github.com/guopengwei/worm sim.

68. Singh, S. Github: PANE - Pluggable Asynchronous Network-on-Chip Simulator [Электронный ресурс] / S. Singh. - Режим доступа: https://github .com/sarabjeetsingh007/PANE.

69. Ababei, C. Github: VNOC 2.0 tool. A versatile NOC simulator [Электронный ресурс] / C. Ababei. C. - Режим доступа: https: //github .com /eigenpi/vnoc20.

70. Daniels, C. Github: noc-tools = nocsim + nocviz - a collection of network-on-chip oriented tools [Электронный ресурс] / C. Daniels, P. Conrad.

- Режим доступа: https://github.com/HeRCLab/nocsim.

71. Jan Moritz, J. Github: Ratatoskr NoC simulator - an in-depth PPA framework to design NoCs [Электронный ресурс] / J. Jan Moritz. - Режим доступа: https://github.com/j mjos/ratatoskr.

72. Domingues, A.R.P. Github: orca-sim - a framework for generating simulation tools [Электронный ресурс] / A.R.P. Domingues. - Режим доступа: https://github.com/andersondomingues/orca-sim.

73. GAPH Projects: Atlas - Network-on-Chip Generation and Evaluation Framework [Электронный ресурс]. - Режим доступа: https://corfu.pucrs.br/ redmine/projects/atlas.

74. Chawki, B. Github: retina-sim -- Real-Time Network-on-chip Analysis and Simulation [Электронный ресурс] / B. Chawki. - Режим доступа: https://github.com/chawki27000/retina-sim.

75. ENoCS: Education Network-on-Chip Simulator [Электронный ресурс].

- Режим доступа: https://github.com/ProfACarpenter/ENoCS.

76. Mach, V. Github: psimulator - graphical linux and cisco network simulator created for education purposes [Электронный ресурс] / V. Mach, M. Horacek.

- Режим доступа: https://github.com/rkuebert/psimulator.

77. Czentye, J. ESCAPEv2 - Extensible Service ChAin Prototyping Environment [Электронный ресурс] / J. Czentye, B. Nemeth, B. Sonkoly.

- Режим доступа: https://sb.tmit.bme.hu/escape/.

78. NetMirage: Large-scale virtual network testing platform [Электронный ресурс]. - Режим доступа: https: //crysp. uwaterl oo.ca/software/netmi rage/.

79. KNet: Virtual Network Topology Builder [Электронный ресурс]. - Режим доступа: https://knet-topology-builder.readthedocs.io/en/latest/.

80. Github: cloonix - AGPLv3 tool to create networks of kvm virtual machines [Электронный ресурс]. - Режим доступа: https://github.com/clownix/cloonix.

81. Nikounia, S.H. Github: gem5v - A Modified gem5 for Simulating Virtualized Systems [Электронный ресурс] / S.H. Nikounia, S. Mohammadi.

- Режим доступа: https://github.com/nikoonia/gem5v.

82. Binkert, N. The gem5 simulator / N. Binkert, B. Beckmann, G. Black, et al. // ACM SIGARCH Computer Architecture News. - 2011. - Vol. 39. - No. 2.

- P. 1-7.

83. Github: McSim-TLM- NoC [Электронный ресурс]. - Режим доступа: https: //github. com/DreamCloud-Proj ect/McSim-TLM-NoC.

84. Github: McSim-Cycle-accurate-Xbar - Manycore platform Simulation tool for crossbar-based platform at a Cycle-accurate level [Электронный ресурс].

- Режим доступа: https://github.com/DreamCloud-Proiect/McSim-Cycle-accurate-Xba.

85. Github: McSim-Cycle-accurate-NoC: Manycore platform Simulation tool for NoC-based platform at a Cycle-accurate level [Электронный ресурс].

- Режим доступа: https://github.com/DreamCloud-Proiect/McSim-Cycle-accurate-NoC.

86. Access Noxim: a co-simulation platform for 3D NoC system that couples the network model, power model and thermal model [Электронный ресурс].

- Режим доступа: http: //access. ee.ntu. edu. tw/noxim/index.html.

87. Github: pynoxim - Python script to run noxim in a simple and better way [Электронный ресурс]. - Режим доступа: https://github.com/rounaksingh/ pynoxim.

88. Davis II, J. Overview of the Ptolemy Project / J. Davis II, M. Goel, C. Hylands et al. - Bercley, 1999. - 18 p.

89. Prabhu, S. ocin_tsim - a DVFS Aware Simulator for Noc Design Space Exploration and Optimization / S. Prabhu. - Texas A&M University, 2010. - 59 p.

90. Fan, Z. HiNetSim: A Parallel Simulator for Large-Scale Hierarchical Direct Networks / Z. Fan, Z. Cao, Y. Su, et al. // Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). - 2014. - P. 120-131.

91. Nayebi, A. XMulator: A Listener-Based Integrated Simulation Platform for Interconnection Networks / A. Nayebi, S. Meraji, A. Shamaei, H. Sarbazi-Azad // First Asia International Conference on Modelling & Simulation (AMS'07).

- IEEE, 2007. - P. 128-132.

92. Palermo, G. PIRATE: A Framework for Power/Performance Exploration of Network-on-Chip Architectures / G. Palermo, C. Silvano // Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). - 2004. - P. 521-531.

93. Saad, E.S.M. PPNOCS: Performance and Power Network on Chip Simulator based on SystemC / E.S.M. Saad, S.A. Salem, M.H. Awadalla, A.M. Mostafa // IJCSI International Journal of Computer Science Issues. - 2011. - Vol. 8(3).

- No. 6. - P. 169-179.

94. Vyas, K. NC-G-SIM: A Parameterized Generic Simulator for 2D-Mesh, 3D-Mesh & Irregular On-chip Networks with Table-based Routing / K. Vyas, N. Choudhary, D. Singh // Global journal of computer science and technology.

- 2013. - Vol. 13. - No. 14-E. - P. 1-7.

95. Jueping, C. OPNEC-Sim: An efficient simulation tool for Network-on-Chip communication and energy performance analysis / C. Jueping, H. Gang, W. Shaoli, et al. // 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology. - IEEE, 2010. - P. 1892-1894.

96. Bolotin, E. QNoC: QoS architecture and design process for network on chip / E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny // Journal of Systems Architecture. -2004. - Vol. 50. - No. 2-3. - P. 105-128.

97. Kamali, H.M. DuCNoC: A High-Throughput FPGA-Based NoC Simulator Using Dual-Clock Lightweight Router Micro-Architecture / H.M. Kamali, K.Z. Azar, S. Hessabi // IEEE Transactions on Computers. - 2018. - Vol. 67. -No. 2. - P. 208-221.

98. Kamali, H.M. AdapNoC: A fast and flexible FPGA-based NoC simulator /

H.M. Kamali, S. Hessabi // 2016 26th International Conference on Field Programmable Logic and Applications (FPL). - IEEE, 2016. - P. 1-8.

99. Kumar, N. DDGSim: GPU based simulator for large multicore with bufferless NoC / N. Kumar, A. Sahu // 2014 Annual IEEE India Conference (INDICON). - IEEE, 2014. - P. 1-6.

100. Kanaujia, S. FastMP: a multi-core simulation methodology / S. Kanaujia,

I. Esmer, P. Jeff, C.J. Baxter // The annual Workshop on Modeling, Benchmarking and Simulation (MOBS). - 2006. - P. 1-10.

101. Penolazzi, S. A High Level Power Model for the Nostrum NoC / S. Penolazzi, A. Jantsch // 9th EUROMICRO Conference on Digital System Design (DSD'06). - Dubrovnik: IEEE, 2006. - P. 673-676.

102. Marcon, C. Phoenix NoC: A distributed fault tolerant architecture / C. Marcon, A. Amory, T. Webber, et al. // 2013 IEEE 31st International Conference on Computer Design (ICCD). - IEEE, 2013. - P. 7-12.

103. Hu, J. Energy-aware mapping for tile-based NoC architectures under performance constraints / J. Hu, R. Marculescu // Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. - Institute of Electrical and Electronics Engineers Inc., 2003. - Vol. 2003-Janua. - P. 233-239.

104. Jingcao Hu. Energy- and performance-aware mapping for regular NoC architectures / Jingcao Hu, R. Marculescu // IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. - 2005. - Vol. 24. - No. 4.

- P. 551-562.

105. Kadri, N. FTNoCSim: A new Simulation platform for Evaluating Network-on-Chip Reliability / N. Kadri, A.E. Yahiaoui, M.M. Kandi, M. Koudil // 2018 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS). - IEEE, 2018. - P. 421-424.

106. Puente, V. SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems / V. Puente, J.A. Gregorio, R. Beivide // Proceedings 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing. - IEEE, 2003. - P. 15-22.

107. McDonald, N. SuperSim: Extensible Flit-Level Simulation of Large-Scale Interconnection Networks / N. McDonald, A. Flores, A. Davis, et al. // 2018 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). - IEEE, 2018. - P. 87-98.

108. Ben-Itzhak, Y. HNOCS: Modular open-source simulator for Heterogeneous NoCs / Y. Ben-Itzhak, E. Zahavi, I. Cidon, A. Kolodny // 2012 International Conference on Embedded Computer Systems (SAMOS). - IEEE, 2012.

- P. 51-57.

109. Tutsch, D. CINSim - A component-based interconnection network simulator for modeling dynamic reconfiguration / D. Tutsch, D. Ludtke, A. Walter, M. Kuhm // Proceedings of ASMTA 2005: 12th International Conference on Analytical and Stochastic Modelling Techniques and Applications. - 2005.

- P. 132-137.

110. Cong, J. PARADE: A cycle-accurate full-system simulation Platform for Accelerator-Rich Architectural Design and Exploration / J. Cong, Z. Fang, M. Gill, G. Reinman // 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). - IEEE, 2015. - P. 380-387.

111. Hang-Sheng Wang. Orion: a power-performance simulator for interconnection networks / Hang-Sheng Wang, Xinping Zhu, Li-Shiuan Peh, S. Malik // 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings. - IEEE Comput. Soc, 2002. -P. 294-305.

112. Sun, C. DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling / C. Sun, C.-H.O. Chen, G. Kurian, et al. // 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip. - IEEE, 2012. - P. 201-210.

113. Pinto, A. COSI: A public-domain design framework for the design of interconnection networks. Report No. UCB/EECS-2008-22 / A. Pinto, L. Carloni, A.L. Sangiovanni-Vincentelli. - 2008. - 11 p.

114. Ardestani, E.K. ESESC: A fast multicore simulator using Time-Based Sampling / E.K. Ardestani, J. Renau // 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA). - IEEE, 2013.

- p. 448-459.

115. Chen, P.-Y. NeuroSim: A Circuit-Level Macro Model for Benchmarking Neuro-Inspired Architectures in Online Learning / P.-Y. Chen, X. Peng, S. Yu // IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. - 2018. - Vol. 37. - No. 12. - P. 3067-3080.

116. Kahng, A.B. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration / A.B. Kahng, Bin Li, Li-Shiuan Peh, K. Samadi // 2009 Design, Automation & Test in Europe Conference & Exhibition. - IEEE, 2009. - P. 423-428.

117. Kahng, A.B. ORION3.0: A Comprehensive NoC Router Estimation Tool / A.B. Kahng, B. Lin, S. Nath // IEEE Embedded Systems Letters. - 2015. - Vol. 7. - No. 2. - P. 41-45.

118. Ren, P. HORNET: A Cycle-Level Multicore Simulator / P. Ren, M. Lis, Myong Hyon Cho, et al. // IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. - 2012. - Vol. 31. - No. 6. - P. 890-903.

119. Catania, V. Noxim: An open, extensible and cycle-accurate network on chip simulator / V. Catania, A. Mineo, S. Monteleone, et al. // Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors. - 2015. - Vol. 2015-Sept. - P. 162-163.

120. Tran, A. Noctweak: A highly parameterizable simulator for early exploration of performance and energy of networks on-chip / A. Tran, B. Baas. - 2012. - 12 p.

121. Ali, M. Using the NS-2 Network Simulator for Evaluating Network on Chips (NoC) / M. Ali, M. Welzl, A. Adnan, F. Nadeem // 2006 International Conference on Emerging Technologies. - IEEE, 2006. - P. 506-512.

122. NS-3 - a discrete-event network simulator for Internet systems, targeted primarily for research and educational use [Электронный ресурс]. - Режим доступа: https : //www.nsnam.org/.

123. Sokol, E.R. A tutorial on MetaCommunity Simulations (MCSim) for R [Электронный ресурс] / E.R. Sokol. - Режим доступа: https://rpubs.com/ sokole/159425.

124. Carlson, T.E. The Sniper Multi-Core Simulator [Электронный ресурс] / T.E. Carlson. - Режим доступа: http://snipersim.org/.

125. Catania, V. Cycle-Accurate Network on Chip Simulation with Noxim / V. Catania, A. Mineo, S. Monteleone, et al. // ACM Transactions on Modeling and Computer Simulation. - 2016. - Vol. 27. - No. 1. - P. 1-25.

126. Романов, А.Ю. Универсальная высокоуровневая программная модель сетей на кристалле Universal On-Chip Network Simulator (UOCNS). Свидетельство о регистрации программы для ЭВМ RU 2019616754, 29.05.2019. Заявка № 2019615566 от 18.05.2019. / А.Ю. Романов.

127. Power, J. gem5-gpu: A Heterogeneous CPU-GPU Simulator / J. Power, J. Hestness, M.S. Orr, et al. // IEEE Computer Architecture Letters. - 2015.

- Vol. 14. - No. 1. - P. 34-36.

128. Sathe, S. Design of a switching node (router) for on-chip networks / S. Sathe, D. Wiklund, D. Liu // 2003 5th International Conference on ASIC Proceedings (IEEE Cat No 03TH8690) ICASIC-03. - IEEE, 2003. - Vol. 1.

- P. 75-78.

129. Silva, E.A. Da. RedScarf: A User-Friendly Multi-Platform Network-on-Chip Simulator / E.A. Da Silva, D. Menegasso, S. Vargas, C.A. Zeferino // Brazilian Symposium on Computing System Engineering, SBESC. - IEEE Computer Society, 2017. - Vol. 2017-Novem. - P. 71-78.

130. Garnet2.0: An On-Chip Network Model for Heterogeneous SoCs [Электронный ресурс]. - Режим доступа: http: //www.m5 sim. org/Garnet2. 0.

131. Jain, L. NIRGAM: A Simulator for NoC Interconnect Routing and Application Modeling [Электронный ресурс] / L. Jain. - Режим доступа: http: //nirgam.ecs.soton.ac. uk/home. php.

132. Jain, L. NIRGAM Manual: A Simulator for NoC Interconnect Routing and Application Modeling / L. Jain. - 2007. - 17 p.

133. Jiang, N. A detailed and flexible cycle-accurate Network-on-Chip simulator / N. Jiang, J. Balfour, D.U. Becker, et al. // ISPASS 2013 - IEEE International Symposium on Performance Analysis of Systems and Software.

- 2013. - P. 86-96.

134. Jiang, N. Github: BookSim 2.0 [Электронный ресурс] / N. Jiang. - Режим доступа: https: //github. com/mikedw/Booksim-2. 0.

135. Github: GPGPU-Sim - a cycle-level simulator modeling contemporary graphics processing units (GPUs) running GPU computing workloads written in CUDA or OpenCL [Электронный ресурс]. - Режим доступа: https: //github .com/ gpgpu-sim/gpgpu-sim distribution.

136. Tellez, A.G. Multithreaded Translation of Ptolemy II Designs on Multicore Platforms / A.G. Tellez, M.M. Pla // 2008 International Conference on Complex, Intelligent and Software Intensive Systems. - IEEE, 2008. - P. 607-612.

137. Github: RTNoCSim [Электронный ресурс]. - Режим доступа: https: //github. com/Meghabber/RTNoCSim.

138. Gelenbe, E. FLEXSIM: A flexible manufacturing system simulator / E. Gelenbe, H. Guennouni // European Journal of Operational Research. - 1991.

- Vol. 53. - No. 2. - P. 149-165.

139. Sarjoughian, H.S. CoSMoS 2.0.0 Help Document. Guide / H.S. Sarjoughian, V. Elamvazhuthi. - 2009. - 48 p.

140. Ptolemaeus, C. System Design, Modeling, and Simulation using Ptolemy II / C. Ptolemaeus.- California, 2014. - 674 p.

141. Mierdin, M.O. Github: nrelabs-docs - open-source project and website for making it easy to learn advanced infrastructure topics like automation [Электронный ресурс] / M.O. Mierdin. - Режим доступа: https://github.com/ nre-learning/nrelabs-docs.

142. Prilepko, P.M. Modification of a High-Level NoCModel 2.0 for Modeling Networks-on-Chip with Circulant Topologies / P.M. Prilepko, A. Romanov, E.V. Lezhnev // Problems of advanced micro- and nanoelectronic systems development. - 2020. - P. 23-30.

143. Duh, D.R. Topological Properties of WK-Recursive Networks / D.R. Duh, G.H. Chen // Journal of Parallel and Distributed Computing. - 1994. - Vol. 23.

- No. 3. - P. 468-474.

144. Su, M.-Y. Topological properties of incomplete WK-recursive networks / M.-Y. Su, G.-H. Chen, D.-R. Duh // Proceedings of 1996 IEEE Second International Conference on Algorithms and Architectures for Parallel Processing, ICA/sup 3/PP '96. - IEEE, 1996. - P. 130-137.

145. Farahabady, M.H. The WK-Recursive Pyramid: An Efficient Network Topology / M.H. Farahabady, H. Sarbazi-Azad // 8th International Symposium on Parallel Architectures,Algorithms and Networks (ISPAN'05). - IEEE, 2005.

- P. 312-317.

146. Imani, N. Some Properties of WK-Recursive and Swapped Networks / N. Imani, H. Sarbazi-Azad, A.Y. Zomaya // Parallel and Distributed Processing and Applications. ISPA 2007. Lecture Notes in Computer Science. - 2007. -P. 856-867.

147. Hafizur Rahman, M.M. A New Static Cost-Effective Parameter for Interconnection Networks of Massively Parallel Computer Systems / M.M. Hafizur Rahman, M.N.M. Ali, A.A. Ibrahim, et al. // Advances in Intelligent Systems and Computing. - 2019. - P. 147-155.

148. Rahman, M.M.H. Architecture and Network-on-Chip Implementation of a New Hierarchical Interconnection Network / M.M.H. Rahman, R. Mohd Nor, T.M. Bin Tengku Sembok, M.A.H. Akhand // Journal of Circuits, Systems and Computers. - 2015. - Vol. 24. - No. 02. - P. 1540006.

149. Hafizur Rahman, M.M. Cost Effectiveness Analysis of a Vertical Midimew-Connected Mesh Network (VMMN) / M.M. Hafizur Rahman, F. Al Faisal, R.M. Nor, et al. // Advances in Intelligent Systems and Computing. - 2017.

- P. 45-53.

150. Monakhova, E.A. A survey on undirected circulant graphs / E.A. Monakhova // Discrete Mathematics, Algorithms and Applications. - 2012.

- Vol. 04. - No. 01. - P. 17-47.

151. Romanov, A.Y. Development of routing algorithms in networks-on-chip based on ring circulant topologies / A.Y. Romanov // Heliyon. - Elsevier, 2019.

- Vol. 5. - No. 4. - P. e01516.

152. Vilfred, V. A few properties of circulant graphs: Self-complementary, isomorphism, Cartesian product and factorization / V. Vilfred // 2017 7th International Conference on Modeling, Simulation, and Applied Optimization (ICMSAO). - IEEE, 2017. - P. 1-5.

153. Gómez, D. Optimal routing in double loop networks / D. Gómez, J. Gutierrez, Á. Ibeas // Theoretical Computer Science. - 2007. - Vol. 381.

- No. 1-3. - P. 68-85.

154. Burns, A. A wormhole NoC protocol for mixed criticality systems / A. Burns, J. Harbin, L.S. Indrusiak // Proceedings - Real-Time Systems Symposium. - 2015. - P. 184-195.

155. Jerger, N.E. On-chip networks second edition. Vol. 12 / N.E. Jerger, T. Krishna, L.-S. Peh. - 2017. - 212 p.

156. Saldaña, M. The routability of multiprocessor network topologies in FPGAs / M. Saldaña, L. Shannon, P. Chow // Proceedings of the international workshop on System-level interconnect prediction - SLIP'06. - New York: ACM Press, 2006.

- Vol. 2006. - P. 49-56.

157. Qin, M.W. NoC self-similar traffic modelling based on systolic architecture unit / M.W. Qin, J.H. Hu, S. Ma // International Journal of Embedded Systems.

- 2016. - Vol. 8. - No. 4. - P. 323-332.

158. Kundu, S. A Comparative Performance Evaluation of Network-on-Chip Architectures under Self-Similar Traffic / S. Kundu, K. Manna, S. Gupta, et al. // 2009 International Conference on Advances in Recent Technologies in Communication and Computing. - IEEE, 2009. - P. 414-418.

159. Романов, А.Ю. Исследование сетей на кристалле с топологией mesh с помощью модели NoCTweak / А.Ю. Романов // Информационные технологии. - Москва: 2016. - Т. 22. - № 7. - P. 498-503.

160. Romanov, A.Y. Development of routing algorithms in networks-on-chip based on two-dimensional optimal circulant topologies / A.Y. Romanov, E.V. Lezhnev, A.Y. Glukhikh, A.A. Amerikanov // Heliyon. - Elsevier, 2020.

- Vol. 6. - No. 1. - P. e03183.

161. Monakhova, E.A. Shortest Path Search Algorithm in Optimal Two-Dimensional Circulant Networks: Implementation for Networks-on-Chip / E.A. Monakhova, A.Y. Romanov, E.V. Lezhnev // IEEE Access. - IEEE, 2020.

- Vol. 8. - P. 215010-215019.

162. Romanov, A. Modification of the BookSim simulator for modeling networks-on-chip based on two-dimensional circulant topologies / A. Romanov, E. Lezhnev, A. Amerikanov // CEUR Workshop Proceedings. - CEUR-WS, 2019.

- Vol. 2514. - P. 182-192.

163. Oveis-Gharan, M. Index-Based Round-Robin Arbiter for NoC Routers / M. Oveis-Gharan, G.N. Khan // 2015 IEEE Computer Society Annual Symposium on VLSI. - IEEE, 2015. - Vol. 07-10-July. - P. 62-67.

164. Matveeva, N. QoS support in embedded networks and NoC / N. Matveeva, Y. Sheynin, E. Suvorova // Proceedings of 16th Conference of Open Innovations Association FRUCT. - IEEE, 2014. - Vol. 2014-Decem. - P. 51-59.

165. Mehdi Modarressi, Github: RecNoC_simulator2 - 3D Reconfigurable Cluster-based Network-on-Chip Simulator [Электронный ресуср]. - Режим доступа: https://github.com/Afiruzan/RecNoC simulator2#readme.

166. Agarwal, N. GARNET: A detailed on-chip network model inside a full-system simulator / N. Agarwal, T. Krishna, L.-S. Peh, N.K. Jha // 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

- IEEE, 2009. - P. 33-42.

167. Miller, J.E. Graphite: A distributed parallel simulator for multicores / J.E. Miller, H. Kasture, G. Kurian, et al. // HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture. - IEEE, 2010. - P. 1-12.

168. Coppola, M. OCCN: a network-on-chip modeling and simulation framework / M. Coppola, S. Curaba, M.D. Grammatikakis, et al. // Proceedings Design, Automation and Test in Europe Conference and Exhibition. - IEEE Comput. Soc, 2004. - P. 174-179.

169. Deb, D. Cost effective routing techniques in 2D mesh NoC using on-chip transmission lines / D. Deb, J. Jose, S. Das, H.K. Kapoor // Journal of Parallel and Distributed Computing. - 2019. - Vol. 123. - P. 118-129.

170. Ansari, A.Q. Modified quadrant-based routing algorithm for 3D Torus Network-on-Chip architecture / A.Q. Ansari, M.R. Ansari, M.A. Khan // Perspectives in Science. - 2016. - Vol. 8. - P. 718-721.

171. Shchegoleva, M.A. Development of Routing Algorithms in Networks on Chip with a Multiplicative Circulant Topology / M.A. Shchegoleva, A. Romanov // Problems of advanced micro- and nanoelectronic systems development. - 2018.

- Vol. 3. - P. 119-125.

172. Amerikanov, A.A. Universal On-Chip Network Simulator for Networks-on-Chip Development / A.A. Amerikanov, A.S. Ponomarev // Proceedings - 2021 International Russian Automation Conference, RusAutoCon 2021. - IEEE, 2021.

- P. 677-682.

173. Jiang, N. BookSim 2.0 User's Guide / N. Jiang, G. Michelogiannakis, D. Becker, B. Towles. - Standford University, 2010. - 11 p.

174. Cristian Grecu, Source code of DEC9 simulator and traffic generator [Электронный ресуср]. - Режим доступа: http://www.ece.ubc.ca/~grecuc/ simulator.

175. Jamali, M.A.J. A study on WK-recursive topology using gpNoCsim++ simulator and comparison to Other topologies / M.A.J. Jamali, H. Bahrbegi, A.A.A. Ahrabi, M. Bahrbegi // Proceedings - 17th IFIP International Conference on Very Large Scale Integration, VLSI-SoC 2009. - IEEE Computer Society, 2009. - P. 181-184.

176. Suboh, S. Simulation and evaluation of on-chip interconnect architectures: 2D mesh, Spidergon, and WK-recursive network / S. Suboh, M. Bakhouya, T. El-Ghazawi // Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008. - 2008. - P. 205-206.

177. Jamali, M.A.J. MinRoot and CMesh: Interconnection architectures for network-on-chip systems / M.A.J. Jamali, A. Khademzadeh // World Academy of Science, Engineering and Technology. - 2009. - Vol. 3. - P. 293-298.

178. Wang, J. A highly scalable butterfly-based photonic network-on-chip / J. Wang, B. Li, Q. Feng, W. Dou // Proceedings - 2012 IEEE 12th International Conference on Computer and Information Technology, CIT 2012. - 2012. - P. 3337.

179. Kim, J. Flattened butterfly topology for on-chip networks / J. Kim, J. Balfour, W.J. Dally // IEEE Computer Architecture Letters. - 2007. - Vol. 6.

- No. 2. - P. 37-40.

180. Bouhraoua, A. An efficient network-on-chip architecture based on the Fat-Tree (FT) topology / A. Bouhraoua, M.E. Elrabaa // Proceedings of the International Conference on Microelectronics, ICM. - 2006. - P. 28-31.

181. Corliss, G. Which Root Does the Bisection Algorithm Find? / G. Corliss // SIAM Review. - 1977. - Vol. 19. - No. 2. - P. 325-327.

182. Kiefer, J. Sequential minimax search for a maximum / J. Kiefer // Proceedings of the American Mathematical Society. - 1953. - Vol. 4. - No. 3.

- P. 502-506.

183. Amerikanov, A.A. Automation of NoC throughput search in high-level modeling / A.A. Amerikanov, A.S. Ponomarev, T.V. Tarzhanov // 2022 Moscow Workshop on Electronic and Networking Technologies (MWENT). - IEEE, 2022. - P. 1-5.

184. Федотова, А.А. Разработка гибридной модели сети на кристалле / А.А. Федотова, А.О. Завьялов, А.А. Американов // Системный администратор. - Москва: 2019. - Т. 7-8. - С. 110-114.

185. Ryazanova, A.E. Development of multiprocessor system-on-chip based on soft processor cores schoolMIPS / A.E. Ryazanova, A.A. Amerikanov, E.V. Lezhnev // Journal of Physics: Conference Series. - 2019. - Vol. 1163.

- No. 1. - P. 012026.

186. Shchegoleva, M.A. Routing in Networks on Chip with Multiplicative Circulant Topology / M.A. Shchegoleva, A.Y. Romanov, E.V. Lezhnev, A.A. Amerikanov // Journal of Physics: Conference Series. - 2019. - Vol. 1163. - No. 1. - P. 012027.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.